diff --git a/arch/mips/Kconfig b/arch/mips/Kconfig index 6a2b7a0..eab9452 100644 --- a/arch/mips/Kconfig +++ b/arch/mips/Kconfig @@ -9,6 +9,7 @@ select HAS_KALLSYMS select HAVE_CONFIGURABLE_MEMORY_LAYOUT select HAVE_CONFIGURABLE_TEXT_BASE + select HAVE_PBL_MULTI_IMAGES select HAS_DMA select ELF default y @@ -26,9 +27,6 @@ bool default y -config HAS_NO_BOARD_HL_CODE - bool - config PHYS_ADDR_T_64BIT bool @@ -58,6 +56,8 @@ select HAS_DEBUG_LL select GPIOLIB select HW_HAS_PCI + select HAVE_PBL_IMAGE + select HAVE_IMAGE_COMPRESSION config MACH_MIPS_AR231X bool "Atheros ar231x-based boards" @@ -67,6 +67,7 @@ select CSRC_R4K_LIB select DRIVER_SERIAL_NS16550 select HAS_DEBUG_LL + select HAVE_IMAGE_COMPRESSION config MACH_MIPS_ATH79 bool "Atheros AR71XX/AR724X/AR913X/AR933X based boards" @@ -89,6 +90,9 @@ select SYS_SUPPORTS_32BIT_KERNEL select SYS_SUPPORTS_LITTLE_ENDIAN select HAS_DEBUG_LL + select HAVE_IMAGE_COMPRESSION + select HAVE_PBL_IMAGE + select HAS_NMON config MACH_MIPS_LOONGSON bool "Loongson-based boards" diff --git a/arch/mips/Makefile b/arch/mips/Makefile index 6338dc1..5fbd51c 100644 --- a/arch/mips/Makefile +++ b/arch/mips/Makefile @@ -41,6 +41,7 @@ endif LDFLAGS += $(ldflags-y) -m $(ld-emul) +LDFLAGS_barebox += $(ldflags-y) # # CPU-dependent compiler/assembler options for optimization. @@ -73,28 +74,11 @@ LDFLAGS_barebox += -nostdlib machine-$(CONFIG_MACH_MIPS_MALTA) := malta -board-$(CONFIG_BOARD_QEMU_MALTA) := qemu-malta - machine-$(CONFIG_MACH_MIPS_AR231X) := ar231x -board-$(CONFIG_BOARD_NETGEAR_WG102) := netgear-wg102 - machine-$(CONFIG_MACH_MIPS_ATH79) := ath79 -board-$(CONFIG_BOARD_8DEVICES_LIMA) := 8devices-lima -board-$(CONFIG_BOARD_DPTECHNICS_DPT_MODULE) := dptechnics-dpt-module -board-$(CONFIG_BOARD_TPLINK_MR3020) := tplink-mr3020 -board-$(CONFIG_BOARD_TPLINK_WDR4300) := tplink-wdr4300 -board-$(CONFIG_BOARD_BLACK_SWIFT) := black-swift - machine-$(CONFIG_MACH_MIPS_BCM47XX) := bcm47xx -board-$(CONFIG_BOARD_DLINK_DIR320) := dlink-dir-320 - machine-$(CONFIG_MACH_MIPS_LOONGSON) := loongson -board-$(CONFIG_BOARD_LOONGSON_TECH_LS1B) := loongson-ls1b - machine-$(CONFIG_MACH_MIPS_XBURST) := xburst -board-$(CONFIG_BOARD_RZX50) := ritmix-rzx50 -board-$(CONFIG_BOARD_CI20) := img-ci20 - machdirs := $(patsubst %,arch/mips/mach-%/,$(machine-y)) ifeq ($(KBUILD_SRC),) @@ -108,12 +92,6 @@ endif INCDIR := arch-$(incdir-y) -ifneq ($(board-y),) -BOARD := arch/mips/boards/$(board-y)/ -else -BOARD := -endif - ifeq ($(KBUILD_SRC),) CPPFLAGS += -I$(BOARD)/include else @@ -126,15 +104,12 @@ MACH := endif -ifndef CONFIG_HAS_NO_BOARD_HL_CODE common-y += $(BOARD) -endif common-y += $(MACH) common-y += arch/mips/lib/ common-y += arch/mips/boot/ - -common-$(CONFIG_BUILTIN_DTB) += arch/mips/dts/ +common-y += arch/mips/boards/ CPPFLAGS += $(cflags-y) CFLAGS += $(cflags-y) @@ -155,4 +130,6 @@ %.dtb: scripts $(Q)$(MAKE) $(build)=$(dts) $(dts)/$@ +common-$(CONFIG_OFTREE) += arch/mips/dts/ + KBUILD_IMAGE ?= $(KBUILD_BINARY) diff --git a/arch/mips/boards/8devices-lima/Makefile b/arch/mips/boards/8devices-lima/Makefile new file mode 100644 index 0000000..b08c4a9 --- /dev/null +++ b/arch/mips/boards/8devices-lima/Makefile @@ -0,0 +1 @@ +lwl-y += lowlevel.o diff --git a/arch/mips/boards/8devices-lima/include/board/board_pbl_start.h b/arch/mips/boards/8devices-lima/include/board/board_pbl_start.h deleted file mode 100644 index e95aa49..0000000 --- a/arch/mips/boards/8devices-lima/include/board/board_pbl_start.h +++ /dev/null @@ -1,65 +0,0 @@ -/* - * Copyright (C) 2018 Oleksij Rempel - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - */ - -#include -#include -#include -#include -#include - - .macro board_pbl_start - .set push - .set noreorder - - mips_barebox_10h - - debug_ll_ar9344_init - - debug_ll_outc '1' - - hornet_mips24k_cp0_setup - debug_ll_outc '2' - - /* test if we are in the SRAM */ - pbl_blt 0xbd000000 1f t8 - debug_ll_outc '3' - b skip_flash_test - nop -1: - /* test if we are in the flash */ - pbl_blt 0xbf000000 skip_pll_ram_config t8 - debug_ll_outc '4' -skip_flash_test: - - pbl_qca4531_ddr2_550_550_init - - debug_ll_outc '5' - /* Initialize caches... */ - mips_cache_reset - - /* ... and enable them */ - dcache_enable -skip_pll_ram_config: - debug_ll_outc '6' - debug_ll_outnl - - mips_nmon - - copy_to_link_location pbl_start - - .set pop - .endm diff --git a/arch/mips/boards/8devices-lima/lowlevel.S b/arch/mips/boards/8devices-lima/lowlevel.S new file mode 100644 index 0000000..b53b23b --- /dev/null +++ b/arch/mips/boards/8devices-lima/lowlevel.S @@ -0,0 +1,41 @@ +/* SPDX-License-Identifier: GPL-2.0-or-later */ +/* + * Copyright (C) 2018 Oleksij Rempel + */ + +#define BOARD_PBL_START start_8devices_lima + +#include +#include +#include +#include +#include +#include + +ENTRY_FUNCTION(BOARD_PBL_START) + + mips_barebox_10h + + debug_ll_ath79_init + + hornet_mips24k_cp0_setup + + /* test if we are in the SRAM */ + pbl_blt 0xbd000000 1f t8 + b skip_flash_test + nop +1: + /* test if we are in the flash */ + pbl_blt 0xbf000000 skip_pll_ram_config t8 +skip_flash_test: + + pbl_qca4531_ddr2_550_550_init + + /* Initialize caches... */ + mips_cache_reset + + /* ... and enable them */ + dcache_enable +skip_pll_ram_config: + +ENTRY_FUNCTION_END(BOARD_PBL_START, qca4531_8devices_lima) diff --git a/arch/mips/boards/Makefile b/arch/mips/boards/Makefile new file mode 100644 index 0000000..50652f9 --- /dev/null +++ b/arch/mips/boards/Makefile @@ -0,0 +1,11 @@ +obj-$(CONFIG_BOARD_8DEVICES_LIMA) += 8devices-lima/ +obj-$(CONFIG_BOARD_BLACK_SWIFT) += black-swift/ +obj-$(CONFIG_BOARD_CI20) += img-ci20/ +obj-$(CONFIG_BOARD_DLINK_DIR320) += dlink-dir-320/ +obj-$(CONFIG_BOARD_DPTECHNICS_DPT_MODULE) += dptechnics-dpt-module/ +obj-$(CONFIG_BOARD_LOONGSON_TECH_LS1B) += loongson-ls1b/ +obj-$(CONFIG_BOARD_NETGEAR_WG102) += netgear-wg102/ +obj-$(CONFIG_BOARD_QEMU_MALTA) += qemu-malta/ +obj-$(CONFIG_BOARD_RZX50) += ritmix-rzx50/ +obj-$(CONFIG_BOARD_TPLINK_MR3020) += tplink-mr3020/ +obj-$(CONFIG_BOARD_TPLINK_WDR4300) += tplink-wdr4300/ diff --git a/arch/mips/boards/black-swift/Makefile b/arch/mips/boards/black-swift/Makefile index dcfc293..b08c4a9 100644 --- a/arch/mips/boards/black-swift/Makefile +++ b/arch/mips/boards/black-swift/Makefile @@ -1 +1 @@ -obj-y += board.o +lwl-y += lowlevel.o diff --git a/arch/mips/boards/black-swift/board.c b/arch/mips/boards/black-swift/board.c deleted file mode 100644 index 2e2ed20..0000000 --- a/arch/mips/boards/black-swift/board.c +++ /dev/null @@ -1,27 +0,0 @@ -/* - * Copyright (C) 2015 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - */ - -#include -#include - -static int model_hostname_init(void) -{ - barebox_set_hostname("black-swift"); - - return 0; -} -postcore_initcall(model_hostname_init); diff --git a/arch/mips/boards/black-swift/include/board/board_pbl_start.h b/arch/mips/boards/black-swift/include/board/board_pbl_start.h deleted file mode 100644 index 4c2ab2e..0000000 --- a/arch/mips/boards/black-swift/include/board/board_pbl_start.h +++ /dev/null @@ -1,60 +0,0 @@ -/* - * Copyright (C) 2013, 2015 Antony Pavlov - * Copyright (C) 2013 Oleksij Rempel - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - */ - -#include -#include -#include - - .macro board_pbl_start - .set push - .set noreorder - - mips_barebox_10h - - pbl_ar9331_wmac_enable - - hornet_mips24k_cp0_setup - - pbl_blt 0xbf000000 skip_pll_ram_config t8 - - hornet_1_1_war - - pbl_ar9331_pll - pbl_ar9331_ddr2_config - - /* Initialize caches... */ - mips_cache_reset - - /* ... and enable them */ - dcache_enable - -skip_pll_ram_config: - pbl_ar9331_uart_enable - debug_ll_ar9331_init - mips_nmon - - /* - * It is amazing but we have to enable MDIO on GPIO - * to use GPIO27 for LED1. - */ - pbl_ar9331_mdio_gpio_enable - - copy_to_link_location pbl_start - - .set pop - .endm diff --git a/arch/mips/boards/black-swift/lowlevel.S b/arch/mips/boards/black-swift/lowlevel.S new file mode 100644 index 0000000..104dd26 --- /dev/null +++ b/arch/mips/boards/black-swift/lowlevel.S @@ -0,0 +1,46 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ +/* + * Copyright (C) 2013, 2015 Antony Pavlov + * Copyright (C) 2013 Oleksij Rempel + */ + +#define BOARD_PBL_START start_black_swift + +#include +#include +#include +#include +#include + +ENTRY_FUNCTION(BOARD_PBL_START) + + mips_barebox_10h + + pbl_ar9331_wmac_enable + + hornet_mips24k_cp0_setup + + pbl_blt 0xbf000000 skip_pll_ram_config t8 + + hornet_1_1_war + + pbl_ar9331_pll + pbl_ar9331_ddr2_config + + /* Initialize caches... */ + mips_cache_reset + + /* ... and enable them */ + dcache_enable + +skip_pll_ram_config: + pbl_ar9331_uart_enable + debug_ll_ath79_init + + /* + * It is amazing but we have to enable MDIO on GPIO + * to use GPIO27 for LED1. + */ + pbl_ar9331_mdio_gpio_enable + +ENTRY_FUNCTION_END(BOARD_PBL_START, black_swift) diff --git a/arch/mips/boards/dlink-dir-320/Makefile b/arch/mips/boards/dlink-dir-320/Makefile index dcfc293..01c7a25 100644 --- a/arch/mips/boards/dlink-dir-320/Makefile +++ b/arch/mips/boards/dlink-dir-320/Makefile @@ -1 +1,2 @@ obj-y += board.o +lwl-y += lowlevel.o diff --git a/arch/mips/boards/dlink-dir-320/board.c b/arch/mips/boards/dlink-dir-320/board.c index d00e4fa..b75812c 100644 --- a/arch/mips/boards/dlink-dir-320/board.c +++ b/arch/mips/boards/dlink-dir-320/board.c @@ -1,18 +1,6 @@ +// SPDX-License-Identifier: GPL-2.0-only /* * Copyright (C) 2011, 2014 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #include diff --git a/arch/mips/boards/dlink-dir-320/lowlevel.S b/arch/mips/boards/dlink-dir-320/lowlevel.S new file mode 100644 index 0000000..9f3bd5d --- /dev/null +++ b/arch/mips/boards/dlink-dir-320/lowlevel.S @@ -0,0 +1,22 @@ +/* SPDX-License-Identifier: GPL-2.0-or-later */ +/* + * Copyright 2018 Oleksij Rempel , Pengutronix + */ + +#define BOARD_PBL_START start_dlink_dir_320 + +#include +#include +#include +#include + +ENTRY_FUNCTION(BOARD_PBL_START) + + mips_barebox_10h + + mips_disable_interrupts + + /* CPU/SoC specific setup ... */ + /* ... absent */ + +ENTRY_FUNCTION_END(BOARD_PBL_START, dlink_dir_320) diff --git a/arch/mips/boards/dptechnics-dpt-module/Makefile b/arch/mips/boards/dptechnics-dpt-module/Makefile new file mode 100644 index 0000000..b08c4a9 --- /dev/null +++ b/arch/mips/boards/dptechnics-dpt-module/Makefile @@ -0,0 +1 @@ +lwl-y += lowlevel.o diff --git a/arch/mips/boards/dptechnics-dpt-module/include/board/board_pbl_start.h b/arch/mips/boards/dptechnics-dpt-module/include/board/board_pbl_start.h deleted file mode 100644 index 9d6b1b8..0000000 --- a/arch/mips/boards/dptechnics-dpt-module/include/board/board_pbl_start.h +++ /dev/null @@ -1,20 +0,0 @@ -/* - * Copyright (C) 2017 Oleksij Rempel - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - */ - -#include -#include -#include - - .macro board_pbl_start - .set push - .set noreorder - - ar9331_pbl_generic_start - - .set pop - .endm diff --git a/arch/mips/boards/dptechnics-dpt-module/lowlevel.S b/arch/mips/boards/dptechnics-dpt-module/lowlevel.S new file mode 100644 index 0000000..28b8f4f --- /dev/null +++ b/arch/mips/boards/dptechnics-dpt-module/lowlevel.S @@ -0,0 +1,20 @@ +/* SPDX-License-Identifier: GPL-2.0-or-later */ +/* + * Copyright (C) 2017 Oleksij Rempel + */ + +#define BOARD_PBL_START start_dptechnics_dpt_module + +#include +#include +#include +#include +#include +#include +#include + +ENTRY_FUNCTION(BOARD_PBL_START) + + ar9331_pbl_generic_start + +ENTRY_FUNCTION_END(BOARD_PBL_START, ar9331_dptechnics_dpt_module) diff --git a/arch/mips/boards/img-ci20/Makefile b/arch/mips/boards/img-ci20/Makefile index dcfc293..b08c4a9 100644 --- a/arch/mips/boards/img-ci20/Makefile +++ b/arch/mips/boards/img-ci20/Makefile @@ -1 +1 @@ -obj-y += board.o +lwl-y += lowlevel.o diff --git a/arch/mips/boards/img-ci20/board.c b/arch/mips/boards/img-ci20/board.c deleted file mode 100644 index 515ebae..0000000 --- a/arch/mips/boards/img-ci20/board.c +++ /dev/null @@ -1,27 +0,0 @@ -/* - * Copyright (C) 2012 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - */ - -#include -#include - -static int ci20_hostname_init(void) -{ - barebox_set_hostname("ci20"); - - return 0; -} -console_initcall(ci20_hostname_init); diff --git a/arch/mips/boards/img-ci20/include/board/board_pbl_start.h b/arch/mips/boards/img-ci20/include/board/board_pbl_start.h deleted file mode 100644 index 42d23c8..0000000 --- a/arch/mips/boards/img-ci20/include/board/board_pbl_start.h +++ /dev/null @@ -1,43 +0,0 @@ -/* - * Startup Code for Imagination Creator CI20 board - * - * Copyright (C) 2014 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - */ - -#include -#include -#include - - .macro board_pbl_start - .set push - .set noreorder - - mips_disable_interrupts - - /* CPU/SoC specific setup ... */ - /* ... absent */ - - debug_ll_ns16550_init - - debug_ll_outc '.' - debug_ll_ns16550_outnl - - mips_nmon - - copy_to_link_location pbl_start - - .set pop - .endm diff --git a/arch/mips/boards/img-ci20/include/board/debug_ll.h b/arch/mips/boards/img-ci20/include/board/debug_ll.h deleted file mode 100644 index 72612cf..0000000 --- a/arch/mips/boards/img-ci20/include/board/debug_ll.h +++ /dev/null @@ -1,23 +0,0 @@ -/* - * Copyright (C) 2014 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - */ - -#ifndef __INCLUDE_CI20_BOARD_DEBUG_LL_H__ -#define __INCLUDE_CI20_BOARD_DEBUG_LL_H__ - -#include - -#endif /* __INCLUDE_CI20_BOARD_DEBUG_LL_H__ */ diff --git a/arch/mips/boards/img-ci20/lowlevel.S b/arch/mips/boards/img-ci20/lowlevel.S new file mode 100644 index 0000000..056df17 --- /dev/null +++ b/arch/mips/boards/img-ci20/lowlevel.S @@ -0,0 +1,26 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ +/* + * Startup Code for Imagination Creator CI20 board + * + * Copyright (C) 2014 Antony Pavlov + */ + +#define BOARD_PBL_START start_img_ci20 + +#include +#include +#include + +ENTRY_FUNCTION(BOARD_PBL_START) + + mips_disable_interrupts + + /* CPU/SoC specific setup ... */ + /* ... absent */ + + debug_ll_ns16550_init + + debug_ll_outc '.' + debug_ll_ns16550_outnl + +ENTRY_FUNCTION_END(BOARD_PBL_START, img_ci20) diff --git a/arch/mips/boards/loongson-ls1b/Makefile b/arch/mips/boards/loongson-ls1b/Makefile index 31c0629..b08c4a9 100644 --- a/arch/mips/boards/loongson-ls1b/Makefile +++ b/arch/mips/boards/loongson-ls1b/Makefile @@ -1 +1 @@ -obj-y += serial.o +lwl-y += lowlevel.o diff --git a/arch/mips/boards/loongson-ls1b/include/board/board_pbl_start.h b/arch/mips/boards/loongson-ls1b/include/board/board_pbl_start.h deleted file mode 100644 index a3c585e..0000000 --- a/arch/mips/boards/loongson-ls1b/include/board/board_pbl_start.h +++ /dev/null @@ -1,41 +0,0 @@ -/* - * Copyright (C) 2014 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - */ - -#include -#include -#include -#include - - .macro board_pbl_start - .set push - .set noreorder - - mips_barebox_10h - - mips_disable_interrupts - - debug_ll_ns16550_init - - debug_ll_outc '.' - debug_ll_ns16550_outnl - - mips_nmon - - copy_to_link_location pbl_start - - .set pop - .endm diff --git a/arch/mips/boards/loongson-ls1b/include/board/debug_ll.h b/arch/mips/boards/loongson-ls1b/include/board/debug_ll.h index e60ce4c..05420f7 100644 --- a/arch/mips/boards/loongson-ls1b/include/board/debug_ll.h +++ b/arch/mips/boards/loongson-ls1b/include/board/debug_ll.h @@ -1,18 +1,6 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * Copyright (C) 2014 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ /** @file diff --git a/arch/mips/boards/loongson-ls1b/lowlevel.S b/arch/mips/boards/loongson-ls1b/lowlevel.S new file mode 100644 index 0000000..37744e9 --- /dev/null +++ b/arch/mips/boards/loongson-ls1b/lowlevel.S @@ -0,0 +1,24 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ +/* + * Copyright (C) 2014 Antony Pavlov + */ + +#define BOARD_PBL_START start_loongson_ls1b + +#include +#include +#include +#include + +ENTRY_FUNCTION(BOARD_PBL_START) + + mips_barebox_10h + + mips_disable_interrupts + + debug_ll_ns16550_init + + debug_ll_outc '.' + debug_ll_ns16550_outnl + +ENTRY_FUNCTION_END(BOARD_PBL_START, loongson_ls1b) diff --git a/arch/mips/boards/loongson-ls1b/serial.c b/arch/mips/boards/loongson-ls1b/serial.c deleted file mode 100644 index a9453ed..0000000 --- a/arch/mips/boards/loongson-ls1b/serial.c +++ /dev/null @@ -1,10 +0,0 @@ -#include -#include - -static int console_init(void) -{ - barebox_set_hostname("ls1b"); - - return 0; -} -console_initcall(console_init); diff --git a/arch/mips/boards/netgear-wg102/Makefile b/arch/mips/boards/netgear-wg102/Makefile index 0899b66..344a671 100644 --- a/arch/mips/boards/netgear-wg102/Makefile +++ b/arch/mips/boards/netgear-wg102/Makefile @@ -1 +1,2 @@ +lwl-y += lowlevel.o obj-y += ram.o diff --git a/arch/mips/boards/netgear-wg102/include/board/board_pbl_start.h b/arch/mips/boards/netgear-wg102/include/board/board_pbl_start.h deleted file mode 100644 index 9fb2205..0000000 --- a/arch/mips/boards/netgear-wg102/include/board/board_pbl_start.h +++ /dev/null @@ -1,77 +0,0 @@ -/* - * Copyright (C) 2013 Antony Pavlov - * Copyright (C) 2013 Oleksij Rempel - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - */ - -#include -#include -#include - -#include - - .macro board_pbl_start - .set push - .set noreorder - - mips_barebox_10h - - mips_disable_interrupts - - pbl_ar2312_pll - - pbl_ar2312_rst_uart0 - debug_ll_ns16550_init - - debug_ll_outc 'a' - debug_ll_ns16550_outnl - - /* check if SDRAM is already configured, - * if yes, we are probably starting - * as second stage loader and can skip configuration */ - la t0, KSEG1 | AR2312_MEM_CFG1 - lw t1, 0(t0) - and t0, t1, MEM_CFG1_E0 - beq zero, t0, 1f - nop - - pbl_probe_mem t0, t1, KSEG1 - beq t0, t1, sdram_configured - nop - -1: - /* start SDRAM configuration */ - pbl_ar2312_x16_sdram - - /* check one more time. if some thing wrong, - * we don't need to continue */ - pbl_probe_mem t0, t1, KSEG1 - beq t0, t1, sdram_configured - nop - debug_ll_outc '#' - debug_ll_ns16550_outnl - -1: - b 1b /* dead end */ - nop - -sdram_configured: - debug_ll_outc 'b' - debug_ll_ns16550_outnl - - copy_to_link_location pbl_start - - .set pop - .endm diff --git a/arch/mips/boards/netgear-wg102/include/board/debug_ll.h b/arch/mips/boards/netgear-wg102/include/board/debug_ll.h index 2725032..cc3b1d7 100644 --- a/arch/mips/boards/netgear-wg102/include/board/debug_ll.h +++ b/arch/mips/boards/netgear-wg102/include/board/debug_ll.h @@ -1,18 +1,6 @@ +/* SPDX-License-Identifier: GPL-2.0-or-later */ /* * Copyright (C) 2013 Oleksij Rempel - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ /** @file diff --git a/arch/mips/boards/netgear-wg102/lowlevel.S b/arch/mips/boards/netgear-wg102/lowlevel.S new file mode 100644 index 0000000..e48dded --- /dev/null +++ b/arch/mips/boards/netgear-wg102/lowlevel.S @@ -0,0 +1,73 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ +/* + * Copyright (C) 2013 Antony Pavlov + * Copyright (C) 2013 Oleksij Rempel + */ + +#define BOARD_PBL_START start_netgear_wg102 + +#include +#include +#include + +#include + +ENTRY_FUNCTION(BOARD_PBL_START) + + mips_barebox_10h + + mips_disable_interrupts + + pbl_ar2312_pll + + pbl_ar2312_rst_uart0 + debug_ll_ns16550_init + + debug_ll_outc 'a' + debug_ll_ns16550_outnl + + /* check if SDRAM is already configured, + * if yes, we are probably starting + * as second stage loader and can skip configuration */ + la t0, KSEG1 | AR2312_MEM_CFG1 + lw t1, 0(t0) + and t0, t1, MEM_CFG1_E0 + beq zero, t0, 1f + nop + + pbl_probe_mem t0, t1, KSEG1 + beq t0, t1, sdram_configured + nop + +1: + /* start SDRAM configuration */ + pbl_ar2312_x16_sdram + + /* check one more time. if some thing wrong, + * we don't need to continue */ + pbl_probe_mem t0, t1, KSEG1 + beq t0, t1, sdram_configured + nop + debug_ll_outc '#' + debug_ll_ns16550_outnl + +1: + b 1b /* dead end */ + nop + +sdram_configured: + debug_ll_outc 'b' + debug_ll_ns16550_outnl + + copy_to_link_location BOARD_PBL_START; + stack_setup; + + lw a0, 0; + la v0, pbl_main_entry; + jal v0; + nop; + + /* No return */ +__error: + b __error; + nop; diff --git a/arch/mips/boards/qemu-malta/Makefile b/arch/mips/boards/qemu-malta/Makefile index eb072c0..b08c4a9 100644 --- a/arch/mips/boards/qemu-malta/Makefile +++ b/arch/mips/boards/qemu-malta/Makefile @@ -1 +1 @@ -obj-y += init.o +lwl-y += lowlevel.o diff --git a/arch/mips/boards/qemu-malta/include/board/board_pbl_start.h b/arch/mips/boards/qemu-malta/include/board/board_pbl_start.h deleted file mode 100644 index 5c15372..0000000 --- a/arch/mips/boards/qemu-malta/include/board/board_pbl_start.h +++ /dev/null @@ -1,102 +0,0 @@ -/* - * Startup Code for MIPS CPU - * - * Copyright (C) 2012 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - */ - -#include -#include - -#include -#include -#include - -#ifdef CONFIG_CPU_LITTLE_ENDIAN -#define GT_CPU_TO_LE32(x) (x) -#elif defined CONFIG_CPU_BIG_ENDIAN -#define GT_CPU_TO_LE32(x) ( \ - (((x) & 0x000000ff) << 24) | \ - (((x) & 0x0000ff00) << 8) | \ - (((x) & 0x00ff0000) >> 8) | \ - (((x) & 0xff000000) >> 24)) -#else -#error "could not determine byte order" -#endif - -#define GT_LD(x) (GT_CPU_TO_LE32(((x) >> 21) & 0x7fff)) -#define GT_HD(x) (GT_CPU_TO_LE32(((x) >> 21) & 0x7f)) - - .macro board_pbl_start - .set push - .set noreorder - - b __start - nop - - /* - On MIPS Technologies boards - 0x1fc00010 address is reserved for BoardID - */ - .org 0x10 - .word 0xffffffff - .word 0xffffffff - -__start: - mips_disable_interrupts - - /* cpu specific setup ... */ - /* ... absent */ - - /* - * Load BAR registers of GT64120 as done by YAMON - * - * based on write_bootloader() in qemu.git/hw/mips/mips_malta.c - * see GT64120 manual and qemu.git/hw/mips/gt64xxx_pci.c for details - */ - - /* move GT64120 registers to 0x1be00000 */ - li t1, KSEG1ADDR(GT_DEF_BASE) - li t0, GT_LD(MIPS_GT_BASE) - sw t0, GT_ISD_OFS(t1) - - /* - * setup MEM-to-PCI0 mapping - */ - li t1, KSEG1ADDR(MIPS_GT_BASE) - - /* setup PCI0 io window */ - li t0, GT_LD(0x18000000) - sw t0, GT_PCI0IOLD_OFS(t1) - li t0, GT_HD(0x181fffff) - sw t0, GT_PCI0IOHD_OFS(t1) - - /* setup PCI0 mem windows */ - li t0, GT_LD(0x10000000) - sw t0, GT_PCI0M0LD_OFS(t1) - li t0, GT_HD(0x17efffff) - sw t0, GT_PCI0M0HD_OFS(t1) - - li t0, GT_LD(0x18200000) - sw t0, GT_PCI0M1LD_OFS(t1) - li t0, GT_LD(0x1bdfffff) - sw t0, GT_PCI0M1HD_OFS(t1) - - mips_nmon - - copy_to_link_location pbl_start - - .set pop - .endm diff --git a/arch/mips/boards/qemu-malta/include/board/debug_ll.h b/arch/mips/boards/qemu-malta/include/board/debug_ll.h index abeee53..5b112b8 100644 --- a/arch/mips/boards/qemu-malta/include/board/debug_ll.h +++ b/arch/mips/boards/qemu-malta/include/board/debug_ll.h @@ -1,18 +1,6 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * Copyright (C) 2013 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #ifndef __INCLUDE_BOARD_DEBUG_LL_QEMU_MALTA_H__ diff --git a/arch/mips/boards/qemu-malta/init.c b/arch/mips/boards/qemu-malta/init.c deleted file mode 100644 index 24bfdc0..0000000 --- a/arch/mips/boards/qemu-malta/init.c +++ /dev/null @@ -1,29 +0,0 @@ -/* - * Copyright (C) 2011 Antony Pavlov - * Copyright (C) 2012 Jean-Christophe PLAGNIOL-VILLARD - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - */ - -#include -#include -#include - -static int malta_core_init(void) -{ - barebox_set_hostname("malta"); - - return 0; -} -core_initcall(malta_core_init); diff --git a/arch/mips/boards/qemu-malta/lowlevel.S b/arch/mips/boards/qemu-malta/lowlevel.S new file mode 100644 index 0000000..3861ae9 --- /dev/null +++ b/arch/mips/boards/qemu-malta/lowlevel.S @@ -0,0 +1,85 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ +/* + * Startup Code for MIPS CPU + * + * Copyright (C) 2012 Antony Pavlov + */ + +#define BOARD_PBL_START start_qemu_malta + +#include +#include +#include + +#include +#include +#include + +#ifdef CONFIG_CPU_LITTLE_ENDIAN +#define GT_CPU_TO_LE32(x) (x) +#elif defined CONFIG_CPU_BIG_ENDIAN +#define GT_CPU_TO_LE32(x) ( \ + (((x) & 0x000000ff) << 24) | \ + (((x) & 0x0000ff00) << 8) | \ + (((x) & 0x00ff0000) >> 8) | \ + (((x) & 0xff000000) >> 24)) +#else +#error "could not determine byte order" +#endif + +#define GT_LD(x) (GT_CPU_TO_LE32(((x) >> 21) & 0x7fff)) +#define GT_HD(x) (GT_CPU_TO_LE32(((x) >> 21) & 0x7f)) + +ENTRY_FUNCTION(BOARD_PBL_START) + b __start + nop + + /* + On MIPS Technologies boards + 0x1fc00010 address is reserved for BoardID + */ + .org 0x10 + .word 0xffffffff + .word 0xffffffff + +__start: + mips_disable_interrupts + + /* cpu specific setup ... */ + /* ... absent */ + + /* + * Load BAR registers of GT64120 as done by YAMON + * + * based on write_bootloader() in qemu.git/hw/mips/mips_malta.c + * see GT64120 manual and qemu.git/hw/mips/gt64xxx_pci.c for details + */ + + /* move GT64120 registers to 0x1be00000 */ + li t1, KSEG1ADDR(GT_DEF_BASE) + li t0, GT_LD(MIPS_GT_BASE) + sw t0, GT_ISD_OFS(t1) + + /* + * setup MEM-to-PCI0 mapping + */ + li t1, KSEG1ADDR(MIPS_GT_BASE) + + /* setup PCI0 io window */ + li t0, GT_LD(0x18000000) + sw t0, GT_PCI0IOLD_OFS(t1) + li t0, GT_HD(0x181fffff) + sw t0, GT_PCI0IOHD_OFS(t1) + + /* setup PCI0 mem windows */ + li t0, GT_LD(0x10000000) + sw t0, GT_PCI0M0LD_OFS(t1) + li t0, GT_HD(0x17efffff) + sw t0, GT_PCI0M0HD_OFS(t1) + + li t0, GT_LD(0x18200000) + sw t0, GT_PCI0M1LD_OFS(t1) + li t0, GT_LD(0x1bdfffff) + sw t0, GT_PCI0M1HD_OFS(t1) + +ENTRY_FUNCTION_END(BOARD_PBL_START, qemu_malta) diff --git a/arch/mips/boards/ritmix-rzx50/Makefile b/arch/mips/boards/ritmix-rzx50/Makefile index 31c0629..b08c4a9 100644 --- a/arch/mips/boards/ritmix-rzx50/Makefile +++ b/arch/mips/boards/ritmix-rzx50/Makefile @@ -1 +1 @@ -obj-y += serial.o +lwl-y += lowlevel.o diff --git a/arch/mips/boards/ritmix-rzx50/include/board/board_pbl_start.h b/arch/mips/boards/ritmix-rzx50/include/board/board_pbl_start.h deleted file mode 100644 index 7c2fa0b..0000000 --- a/arch/mips/boards/ritmix-rzx50/include/board/board_pbl_start.h +++ /dev/null @@ -1,43 +0,0 @@ -/* - * Startup Code for Ritmix RZX-50 board - * - * Copyright (C) 2013 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - */ - -#include -#include -#include - - .macro board_pbl_start - .set push - .set noreorder - - mips_disable_interrupts - - /* CPU/SoC specific setup ... */ - /* ... absent */ - - debug_ll_ns16550_init - - debug_ll_outc '.' - debug_ll_ns16550_outnl - - mips_nmon - - copy_to_link_location pbl_start - - .set pop - .endm diff --git a/arch/mips/boards/ritmix-rzx50/include/board/debug_ll.h b/arch/mips/boards/ritmix-rzx50/include/board/debug_ll.h deleted file mode 100644 index 7ae0e2a..0000000 --- a/arch/mips/boards/ritmix-rzx50/include/board/debug_ll.h +++ /dev/null @@ -1,23 +0,0 @@ -/* - * Copyright (C) 2012 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - */ - -#ifndef __INCLUDE_RZX50_BOARD_DEBUG_LL_H__ -#define __INCLUDE_RZX50_BOARD_DEBUG_LL_H__ - -#include - -#endif /* __INCLUDE_RZX50_BOARD_DEBUG_LL_H__ */ diff --git a/arch/mips/boards/ritmix-rzx50/lowlevel.S b/arch/mips/boards/ritmix-rzx50/lowlevel.S new file mode 100644 index 0000000..7a97438 --- /dev/null +++ b/arch/mips/boards/ritmix-rzx50/lowlevel.S @@ -0,0 +1,26 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ +/* + * Startup Code for Ritmix RZX-50 board + * + * Copyright (C) 2013 Antony Pavlov + */ + +#define BOARD_PBL_START start_ritmix_rzx50 + +#include +#include +#include + +ENTRY_FUNCTION(BOARD_PBL_START) + + mips_disable_interrupts + + /* CPU/SoC specific setup ... */ + /* ... absent */ + + debug_ll_ns16550_init + + debug_ll_outc '.' + debug_ll_ns16550_outnl + +ENTRY_FUNCTION_END(BOARD_PBL_START, rzx50) diff --git a/arch/mips/boards/ritmix-rzx50/serial.c b/arch/mips/boards/ritmix-rzx50/serial.c deleted file mode 100644 index f1e8da0..0000000 --- a/arch/mips/boards/ritmix-rzx50/serial.c +++ /dev/null @@ -1,27 +0,0 @@ -/* - * Copyright (C) 2012 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - */ - -#include -#include - -static int rzx50_hostname_init(void) -{ - barebox_set_hostname("rzx50"); - - return 0; -} -console_initcall(rzx50_hostname_init); diff --git a/arch/mips/boards/tplink-mr3020/Makefile b/arch/mips/boards/tplink-mr3020/Makefile index dcfc293..b08c4a9 100644 --- a/arch/mips/boards/tplink-mr3020/Makefile +++ b/arch/mips/boards/tplink-mr3020/Makefile @@ -1 +1 @@ -obj-y += board.o +lwl-y += lowlevel.o diff --git a/arch/mips/boards/tplink-mr3020/board.c b/arch/mips/boards/tplink-mr3020/board.c deleted file mode 100644 index 318998c..0000000 --- a/arch/mips/boards/tplink-mr3020/board.c +++ /dev/null @@ -1,27 +0,0 @@ -/* - * Copyright (C) 2014 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - */ - -#include -#include - -static int model_hostname_init(void) -{ - barebox_set_hostname("mr3020"); - - return 0; -} -postcore_initcall(model_hostname_init); diff --git a/arch/mips/boards/tplink-mr3020/include/board/board_pbl_start.h b/arch/mips/boards/tplink-mr3020/include/board/board_pbl_start.h deleted file mode 100644 index e70f55e..0000000 --- a/arch/mips/boards/tplink-mr3020/include/board/board_pbl_start.h +++ /dev/null @@ -1,60 +0,0 @@ -/* - * Copyright (C) 2013, 2015 Antony Pavlov - * Copyright (C) 2013 Oleksij Rempel - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - */ - -#include -#include -#include - - .macro board_pbl_start - .set push - .set noreorder - - mips_barebox_10h - - pbl_blt 0xbf000000 skip_pll_ram_config t8 - - hornet_mips24k_cp0_setup - - pbl_ar9331_wmac_enable - - hornet_1_1_war - - pbl_ar9331_pll - pbl_ar9331_ddr1_config - - /* Initialize caches... */ - mips_cache_reset - - /* ... and enable them */ - dcache_enable - -skip_pll_ram_config: - pbl_ar9331_uart_enable - debug_ll_ar9331_init - mips_nmon - - /* - * It is amazing but we have to enable MDIO on GPIO - * to use GPIO26 for the "WPS" LED and GPIO27 for the "3G" LED. - */ - pbl_ar9331_mdio_gpio_enable - - copy_to_link_location pbl_start - - .set pop - .endm diff --git a/arch/mips/boards/tplink-mr3020/lowlevel.S b/arch/mips/boards/tplink-mr3020/lowlevel.S new file mode 100644 index 0000000..e3e6a61 --- /dev/null +++ b/arch/mips/boards/tplink-mr3020/lowlevel.S @@ -0,0 +1,46 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ +/* + * Copyright (C) 2013, 2015 Antony Pavlov + * Copyright (C) 2013 Oleksij Rempel + */ + +#define BOARD_PBL_START start_tplink_mr3020 + +#include +#include +#include +#include +#include + +ENTRY_FUNCTION(BOARD_PBL_START) + + mips_barebox_10h + + pbl_blt 0xbf000000 skip_pll_ram_config t8 + + hornet_mips24k_cp0_setup + + pbl_ar9331_wmac_enable + + hornet_1_1_war + + pbl_ar9331_pll + pbl_ar9331_ddr1_config + + /* Initialize caches... */ + mips_cache_reset + + /* ... and enable them */ + dcache_enable + +skip_pll_ram_config: + pbl_ar9331_uart_enable + debug_ll_ath79_init + + /* + * It is amazing but we have to enable MDIO on GPIO + * to use GPIO26 for the "WPS" LED and GPIO27 for the "3G" LED. + */ + pbl_ar9331_mdio_gpio_enable + +ENTRY_FUNCTION_END(BOARD_PBL_START, tplink_mr3020) diff --git a/arch/mips/boards/tplink-wdr4300/Makefile b/arch/mips/boards/tplink-wdr4300/Makefile index dcfc293..b08c4a9 100644 --- a/arch/mips/boards/tplink-wdr4300/Makefile +++ b/arch/mips/boards/tplink-wdr4300/Makefile @@ -1 +1 @@ -obj-y += board.o +lwl-y += lowlevel.o diff --git a/arch/mips/boards/tplink-wdr4300/board.c b/arch/mips/boards/tplink-wdr4300/board.c deleted file mode 100644 index d6126fc..0000000 --- a/arch/mips/boards/tplink-wdr4300/board.c +++ /dev/null @@ -1,28 +0,0 @@ -/* - * Copyright (C) 2017 Oleksij Rempel - * Copyright (C) 2014 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - */ - -#include -#include - -static int model_hostname_init(void) -{ - barebox_set_hostname("wdr4300"); - - return 0; -} -postcore_initcall(model_hostname_init); diff --git a/arch/mips/boards/tplink-wdr4300/include/board/board_pbl_start.h b/arch/mips/boards/tplink-wdr4300/include/board/board_pbl_start.h deleted file mode 100644 index 7d4ee4b..0000000 --- a/arch/mips/boards/tplink-wdr4300/include/board/board_pbl_start.h +++ /dev/null @@ -1,62 +0,0 @@ -/* - * Copyright (C) 2017 Oleksij Rempel - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - */ - -#include -#include -#include -#include -#include - - .macro board_pbl_start - .set push - .set noreorder - - mips_barebox_10h - - debug_ll_ar9344_init - - debug_ll_outc '1' - - hornet_mips24k_cp0_setup - debug_ll_outc '2' - - /* test if we are in the SRAM */ - pbl_blt 0xbd000000 1f t8 - debug_ll_outc '3' - b skip_flash_test - nop -1: - /* test if we are in the flash */ - pbl_blt 0xbf000000 skip_pll_ram_config t8 - debug_ll_outc '4' -skip_flash_test: - - pbl_ar9344_v11_pll_config - debug_ll_outc '5' - - pbl_ar9344_v11_ddr2_config - -skip_pll_ram_config: - debug_ll_outc '6' - debug_ll_outnl - - mips_nmon - - copy_to_link_location pbl_start - - .set pop - .endm diff --git a/arch/mips/boards/tplink-wdr4300/lowlevel.S b/arch/mips/boards/tplink-wdr4300/lowlevel.S new file mode 100644 index 0000000..461b257 --- /dev/null +++ b/arch/mips/boards/tplink-wdr4300/lowlevel.S @@ -0,0 +1,38 @@ +/* SPDX-License-Identifier: GPL-2.0-or-later */ +/* + * Copyright (C) 2017 Oleksij Rempel + */ + +#define BOARD_PBL_START start_tplink_wdr4300 + +#include +#include +#include +#include +#include +#include + +ENTRY_FUNCTION(BOARD_PBL_START) + + mips_barebox_10h + + debug_ll_ath79_init + + hornet_mips24k_cp0_setup + + /* test if we are in the SRAM */ + pbl_blt 0xbd000000 1f t8 + b skip_flash_test + nop +1: + /* test if we are in the flash */ + pbl_blt 0xbf000000 skip_pll_ram_config t8 +skip_flash_test: + + pbl_ar9344_v11_pll_config + + pbl_ar9344_v11_ddr2_config + +skip_pll_ram_config: + +ENTRY_FUNCTION_END(BOARD_PBL_START, ar9344_tl_wdr4300_v1.7) diff --git a/arch/mips/boot/Makefile b/arch/mips/boot/Makefile index b865b10..3d46e83 100644 --- a/arch/mips/boot/Makefile +++ b/arch/mips/boot/Makefile @@ -1,6 +1,6 @@ obj-y += start.o obj-y += main_entry.o -obj-$(CONFIG_BUILTIN_DTB) += dtb.o +obj-$(CONFIG_OFDEVICE) += dtb.o -pbl-y += start-pbl.o main_entry-pbl.o +pbl-y += main_entry-pbl.o diff --git a/arch/mips/boot/dtb.c b/arch/mips/boot/dtb.c index 3f7f466..ea30e16 100644 --- a/arch/mips/boot/dtb.c +++ b/arch/mips/boot/dtb.c @@ -1,21 +1,9 @@ +// SPDX-License-Identifier: GPL-2.0-only /* * Copyright (C) 2013 Antony Pavlov * * Based on arch/arm/cpu/dtb.c: * Copyright (C) 2013 Sascha Hauer , Pengutronix - * - * See file CREDITS for list of people who contributed to this - * project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #include #include @@ -23,6 +11,9 @@ #include #include +void *glob_fdt; +u32 glob_fdt_size; + void of_add_memory_bank(struct device_node *node, bool dump, int r, u64 base, u64 size) { @@ -38,6 +29,10 @@ if (dump) pr_info("%s: %s: 0x%llx@0x%llx\n", node->name, str, size, base); + + if (glob_fdt && glob_fdt_size) + request_sdram_region("fdt", (resource_size_t)glob_fdt, + glob_fdt_size); } extern char __dtb_start[]; @@ -45,12 +40,13 @@ static int of_mips_init(void) { struct device_node *root; + void *fdt; - root = of_get_root_node(); - if (root) - return 0; + fdt = glob_fdt; + if (!fdt) + fdt = __dtb_start; - root = of_unflatten_dtb(__dtb_start); + root = of_unflatten_dtb(fdt); if (!IS_ERR(root)) { pr_debug("using internal DTB\n"); of_set_root_node(root); diff --git a/arch/mips/boot/main_entry-pbl.c b/arch/mips/boot/main_entry-pbl.c index e408d29..b40887b 100644 --- a/arch/mips/boot/main_entry-pbl.c +++ b/arch/mips/boot/main_entry-pbl.c @@ -1,19 +1,6 @@ +// SPDX-License-Identifier: GPL-2.0-or-later /* * Copyright (C) 2012 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or - * modify it under the terms of the GNU General Public License as - * published by the Free Software Foundation; either version 2 of - * the License, or (at your option) any later version. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #include @@ -31,7 +18,7 @@ unsigned long free_mem_ptr; unsigned long free_mem_end_ptr; -void pbl_main_entry(void); +void pbl_main_entry(void *fdt, void *fdt_end); static unsigned long *ttb; @@ -46,10 +33,11 @@ pbl_barebox_uncompress((void*)TEXT_BASE, compressed_start, len); } -void __section(.text_entry) pbl_main_entry(void) +void __section(.text_entry) pbl_main_entry(void *fdt, void *fdt_end) { - u32 pg_start, pg_end, pg_len; - void (*barebox)(void); + u32 pg_start, pg_end, pg_len, fdt_len; + void *fdt_new; + void (*barebox)(void *fdt, u32 fdt_len); puts_ll("pbl_main_entry()\n"); @@ -62,6 +50,10 @@ barebox_uncompress(&input_data, pg_len); + fdt_len = (u32)fdt_end - (u32)fdt; + fdt_new = (void *)PAGE_ALIGN_DOWN(STACK_BASE - fdt_len); + memcpy(fdt_new, fdt, fdt_len); + barebox = (void *)TEXT_BASE; - barebox(); + barebox(fdt_new, fdt_len); } diff --git a/arch/mips/boot/main_entry.c b/arch/mips/boot/main_entry.c index 43a78c2..84325da 100644 --- a/arch/mips/boot/main_entry.c +++ b/arch/mips/boot/main_entry.c @@ -1,21 +1,9 @@ +// SPDX-License-Identifier: GPL-2.0-or-later /* * Copyright (C) 2012 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or - * modify it under the terms of the GNU General Public License as - * published by the Free Software Foundation; either version 2 of - * the License, or (at your option) any later version. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ +#include #include #include #include @@ -27,7 +15,7 @@ extern void handle_reserved(void); -void main_entry(void); +void main_entry(void *fdt, u32 fdt_size); unsigned long exception_handlers[32]; @@ -71,12 +59,15 @@ write_c0_status(read_c0_status() & ~ST0_BEV); } +extern void *glob_fdt; +extern u32 glob_fdt_size; + /** * Called plainly from assembler code * * @note The C environment isn't initialized yet */ -void main_entry(void) +void __bare_init main_entry(void *fdt, u32 fdt_size) { /* clear the BSS first */ memset(__bss_start, 0x00, __bss_stop - __bss_start); @@ -94,5 +85,8 @@ mem_malloc_init((void *)MALLOC_BASE, (void *)(MALLOC_BASE + MALLOC_SIZE - 1)); + glob_fdt = fdt; + glob_fdt_size = fdt_size; + start_barebox(); } diff --git a/arch/mips/boot/start-pbl.S b/arch/mips/boot/start-pbl.S deleted file mode 100644 index d6e8231..0000000 --- a/arch/mips/boot/start-pbl.S +++ /dev/null @@ -1,44 +0,0 @@ -/* - * Startup Code for MIPS CPU - * - * Copyright (C) 2011, 2012 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - */ - -#include -#include -#include - -#include -#include - - .set noreorder - .section ".text_head_entry" - .align 4 - -EXPORT(pbl_start) - - board_pbl_start - - stack_setup - - la v0, pbl_main_entry - jal v0 - nop - - /* No return */ -__error: - b __error - nop diff --git a/arch/mips/boot/start.S b/arch/mips/boot/start.S index e937e89..6efe03e 100644 --- a/arch/mips/boot/start.S +++ b/arch/mips/boot/start.S @@ -1,20 +1,8 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * Startup Code for MIPS CPU * * Copyright (C) 2011, 2015 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #include @@ -27,6 +15,10 @@ mips_barebox_10h + /* save dtb pointer */ + move s0, a0 + move s1, a1 + /* disable watchpoints */ mtc0 zero, CP0_WATCHLO mtc0 zero, CP0_WATCHHI @@ -37,6 +29,9 @@ stack_setup + /* restore dtb pointer */ + move a0, s0 + move a1, s1 la v0, main_entry jal v0 nop diff --git a/arch/mips/configs/8devices-lima_defconfig b/arch/mips/configs/8devices-lima_defconfig deleted file mode 100644 index 446369a..0000000 --- a/arch/mips/configs/8devices-lima_defconfig +++ /dev/null @@ -1,83 +0,0 @@ -CONFIG_BUILTIN_DTB=y -CONFIG_BUILTIN_DTB_NAME="qca4531-8devices-lima" -CONFIG_MACH_MIPS_ATH79=y -CONFIG_PBL_IMAGE=y -CONFIG_IMAGE_COMPRESSION_XZKERN=y -CONFIG_MMU=y -CONFIG_TEXT_BASE=0x81000000 -CONFIG_MALLOC_TLSF=y -CONFIG_HUSH_FANCY_PROMPT=y -CONFIG_CMDLINE_EDITING=y -CONFIG_AUTO_COMPLETE=y -CONFIG_CONSOLE_RATP=y -CONFIG_DEFAULT_ENVIRONMENT_GENERIC_NEW=y -CONFIG_CMD_DMESG=y -CONFIG_LONGHELP=y -CONFIG_CMD_IOMEM=y -CONFIG_CMD_IMD=y -CONFIG_CMD_MEMINFO=y -CONFIG_CMD_GO=y -CONFIG_CMD_LOADB=y -CONFIG_CMD_LOADY=y -CONFIG_CMD_RESET=y -CONFIG_CMD_EXPORT=y -CONFIG_CMD_DEFAULTENV=y -CONFIG_CMD_LOADENV=y -CONFIG_CMD_MAGICVAR=y -CONFIG_CMD_MAGICVAR_HELP=y -CONFIG_CMD_SAVEENV=y -CONFIG_CMD_SHA1SUM=y -CONFIG_CMD_UNCOMPRESS=y -CONFIG_CMD_LET=y -CONFIG_CMD_MSLEEP=y -CONFIG_CMD_READF=y -CONFIG_CMD_SLEEP=y -CONFIG_CMD_DHCP=y -CONFIG_CMD_HOST=y -CONFIG_CMD_MIITOOL=y -CONFIG_CMD_PING=y -CONFIG_CMD_ECHO_E=y -CONFIG_CMD_EDIT=y -CONFIG_CMD_READLINE=y -CONFIG_CMD_TIMEOUT=y -CONFIG_CMD_CRC=y -CONFIG_CMD_MEMTEST=y -CONFIG_CMD_MM=y -CONFIG_CMD_CLK=y -CONFIG_CMD_DETECT=y -CONFIG_CMD_FLASH=y -CONFIG_CMD_GPIO=y -CONFIG_CMD_LED=y -CONFIG_CMD_POWEROFF=y -CONFIG_CMD_SPI=y -CONFIG_CMD_LED_TRIGGER=y -CONFIG_CMD_BAREBOX_UPDATE=y -CONFIG_CMD_OF_NODE=y -CONFIG_CMD_OF_PROPERTY=y -CONFIG_CMD_OFTREE=y -CONFIG_CMD_TIME=y -CONFIG_NET=y -CONFIG_NET_NFS=y -CONFIG_NET_NETCONSOLE=y -CONFIG_NET_SNTP=y -CONFIG_OFDEVICE=y -CONFIG_OF_BAREBOX_DRIVERS=y -CONFIG_OF_BAREBOX_ENV_IN_FS=y -CONFIG_DRIVER_SERIAL_NS16550=y -CONFIG_DRIVER_NET_AG71XX=y -CONFIG_AR8327N_PHY=y -CONFIG_MDIO_BITBANG=y -CONFIG_MDIO_GPIO=y -CONFIG_DRIVER_SPI_ATH79=y -CONFIG_MTD=y -# CONFIG_MTD_OOB_DEVICE is not set -CONFIG_MTD_M25P80=y -CONFIG_LED=y -CONFIG_LED_GPIO=y -CONFIG_LED_GPIO_OF=y -CONFIG_LED_TRIGGERS=y -CONFIG_FS_TFTP=y -CONFIG_FS_NFS=y -CONFIG_FS_RATP=y -CONFIG_DIGEST_SHA224_GENERIC=y -CONFIG_DIGEST_SHA256_GENERIC=y diff --git a/arch/mips/configs/ath79_defconfig b/arch/mips/configs/ath79_defconfig new file mode 100644 index 0000000..781786b --- /dev/null +++ b/arch/mips/configs/ath79_defconfig @@ -0,0 +1,84 @@ +CONFIG_MACH_MIPS_ATH79=y +CONFIG_MIPS_ATH79_MULTI_BOARDS=y +CONFIG_BOARD_8DEVICES_LIMA=y +CONFIG_BOARD_DPTECHNICS_DPT_MODULE=y +CONFIG_BOARD_TPLINK_MR3020=y +CONFIG_BOARD_TPLINK_WDR4300=y +CONFIG_BOARD_BLACK_SWIFT=y +CONFIG_IMAGE_COMPRESSION_XZKERN=y +CONFIG_MMU=y +CONFIG_TEXT_BASE=0x81000000 +CONFIG_MALLOC_TLSF=y +CONFIG_HUSH_FANCY_PROMPT=y +CONFIG_CMDLINE_EDITING=y +CONFIG_AUTO_COMPLETE=y +CONFIG_DEFAULT_ENVIRONMENT_GENERIC_NEW=y +CONFIG_CMD_DMESG=y +CONFIG_LONGHELP=y +CONFIG_CMD_IOMEM=y +CONFIG_CMD_IMD=y +CONFIG_CMD_MEMINFO=y +CONFIG_CMD_GO=y +CONFIG_CMD_LOADB=y +CONFIG_CMD_LOADY=y +CONFIG_CMD_RESET=y +CONFIG_CMD_EXPORT=y +CONFIG_CMD_DEFAULTENV=y +CONFIG_CMD_LOADENV=y +CONFIG_CMD_MAGICVAR=y +CONFIG_CMD_MAGICVAR_HELP=y +CONFIG_CMD_SAVEENV=y +CONFIG_CMD_SHA1SUM=y +CONFIG_CMD_UNCOMPRESS=y +CONFIG_CMD_LET=y +CONFIG_CMD_MSLEEP=y +CONFIG_CMD_READF=y +CONFIG_CMD_SLEEP=y +CONFIG_CMD_DHCP=y +CONFIG_CMD_HOST=y +CONFIG_CMD_MIITOOL=y +CONFIG_CMD_PING=y +CONFIG_CMD_ECHO_E=y +CONFIG_CMD_EDIT=y +CONFIG_CMD_READLINE=y +CONFIG_CMD_TIMEOUT=y +CONFIG_CMD_CRC=y +CONFIG_CMD_MEMTEST=y +CONFIG_CMD_MM=y +CONFIG_CMD_CLK=y +CONFIG_CMD_DETECT=y +CONFIG_CMD_FLASH=y +CONFIG_CMD_GPIO=y +CONFIG_CMD_LED=y +CONFIG_CMD_POWEROFF=y +CONFIG_CMD_SPI=y +CONFIG_CMD_LED_TRIGGER=y +CONFIG_CMD_BAREBOX_UPDATE=y +CONFIG_CMD_OF_NODE=y +CONFIG_CMD_OF_PROPERTY=y +CONFIG_CMD_OFTREE=y +CONFIG_CMD_TIME=y +CONFIG_NET=y +CONFIG_NET_NFS=y +CONFIG_NET_NETCONSOLE=y +CONFIG_NET_SNTP=y +CONFIG_OFDEVICE=y +CONFIG_OF_BAREBOX_DRIVERS=y +CONFIG_OF_BAREBOX_ENV_IN_FS=y +CONFIG_DRIVER_SERIAL_AR933X=y +CONFIG_DRIVER_NET_AG71XX=y +CONFIG_AT803X_PHY=y +CONFIG_MDIO_BITBANG=y +CONFIG_MDIO_GPIO=y +CONFIG_DRIVER_SPI_ATH79=y +CONFIG_MTD=y +# CONFIG_MTD_OOB_DEVICE is not set +CONFIG_MTD_M25P80=y +CONFIG_LED=y +CONFIG_LED_GPIO=y +CONFIG_LED_GPIO_OF=y +CONFIG_LED_TRIGGERS=y +CONFIG_FS_TFTP=y +CONFIG_FS_NFS=y +CONFIG_DIGEST_SHA224_GENERIC=y +CONFIG_DIGEST_SHA256_GENERIC=y diff --git a/arch/mips/configs/bcm47xx_defconfig b/arch/mips/configs/bcm47xx_defconfig new file mode 100644 index 0000000..04833ef --- /dev/null +++ b/arch/mips/configs/bcm47xx_defconfig @@ -0,0 +1,63 @@ +CONFIG_MACH_MIPS_BCM47XX=y +CONFIG_IMAGE_COMPRESSION_XZKERN=y +CONFIG_STACK_SIZE=0x7000 +CONFIG_EXPERIMENTAL=y +CONFIG_HUSH_FANCY_PROMPT=y +CONFIG_CMDLINE_EDITING=y +CONFIG_AUTO_COMPLETE=y +CONFIG_MENU=y +CONFIG_BOOTM_SHOW_TYPE=y +CONFIG_PARTITION=y +# CONFIG_DEFAULT_ENVIRONMENT is not set +CONFIG_DEFAULT_ENVIRONMENT_GENERIC_NEW=y +CONFIG_POLLER=y +CONFIG_LONGHELP=y +CONFIG_CMD_IOMEM=y +CONFIG_CMD_MEMINFO=y +CONFIG_CMD_GO=y +CONFIG_CMD_LOADB=y +CONFIG_CMD_LOADY=y +CONFIG_CMD_RESET=y +CONFIG_CMD_PARTITION=y +CONFIG_CMD_EXPORT=y +CONFIG_CMD_LOADENV=y +CONFIG_CMD_PRINTENV=y +CONFIG_CMD_SAVEENV=y +CONFIG_CMD_MD5SUM=y +CONFIG_CMD_SHA1SUM=y +CONFIG_CMD_SHA256SUM=y +CONFIG_CMD_UNCOMPRESS=y +CONFIG_CMD_GETOPT=y +CONFIG_CMD_SLEEP=y +CONFIG_CMD_DHCP=y +CONFIG_CMD_PING=y +CONFIG_CMD_TFTP=y +CONFIG_CMD_ECHO_E=y +CONFIG_CMD_EDIT=y +CONFIG_CMD_LOGIN=y +CONFIG_CMD_MENU=y +CONFIG_CMD_MENU_MANAGEMENT=y +CONFIG_CMD_PASSWD=y +CONFIG_CMD_READLINE=y +CONFIG_CMD_TIMEOUT=y +CONFIG_CMD_CRC=y +CONFIG_CMD_CRC_CMP=y +CONFIG_CMD_MM=y +CONFIG_CMD_FLASH=y +CONFIG_CMD_OF_NODE=y +CONFIG_CMD_OF_PROPERTY=y +CONFIG_CMD_OFTREE=y +CONFIG_CMD_TIME=y +CONFIG_NET=y +CONFIG_NET_NFS=y +CONFIG_NET_NETCONSOLE=y +CONFIG_NET_RESOLV=y +CONFIG_OFDEVICE=y +CONFIG_OF_BAREBOX_DRIVERS=y +# CONFIG_SPI is not set +CONFIG_MTD=y +CONFIG_FS_CRAMFS=y +CONFIG_FS_TFTP=y +CONFIG_FS_FAT=y +CONFIG_FS_FAT_WRITE=y +CONFIG_FS_FAT_LFN=y diff --git a/arch/mips/configs/black-swift_defconfig b/arch/mips/configs/black-swift_defconfig deleted file mode 100644 index 1a72cfb..0000000 --- a/arch/mips/configs/black-swift_defconfig +++ /dev/null @@ -1,54 +0,0 @@ -CONFIG_BUILTIN_DTB=y -CONFIG_BUILTIN_DTB_NAME="black-swift" -CONFIG_MACH_MIPS_ATH79=y -CONFIG_BOARD_BLACK_SWIFT=y -CONFIG_NMON=y -CONFIG_NMON_USER_START=y -CONFIG_NMON_1S_DELAY=0x100000 -CONFIG_NMON_USER_START_DELAY=0x5 -CONFIG_NMON_HELP=y -CONFIG_PBL_IMAGE=y -CONFIG_IMAGE_COMPRESSION_XZKERN=y -CONFIG_MMU=y -CONFIG_TEXT_BASE=0x82000000 -CONFIG_MALLOC_TLSF=y -CONFIG_CMDLINE_EDITING=y -CONFIG_AUTO_COMPLETE=y -CONFIG_IMD=y -CONFIG_PARTITION=y -CONFIG_DEBUG_LL=y -CONFIG_LONGHELP=y -CONFIG_CMD_IOMEM=y -CONFIG_CMD_MEMINFO=y -# CONFIG_CMD_BOOTM is not set -CONFIG_CMD_GO=y -CONFIG_CMD_LOADB=y -CONFIG_CMD_LOADY=y -CONFIG_CMD_RESET=y -CONFIG_CMD_PARTITION=y -CONFIG_CMD_GLOBAL=y -CONFIG_CMD_SHA1SUM=y -CONFIG_CMD_LET=y -CONFIG_CMD_SLEEP=y -CONFIG_CMD_EDIT=y -CONFIG_CMD_MM=y -CONFIG_CMD_CLK=y -CONFIG_CMD_FLASH=y -CONFIG_CMD_GPIO=y -CONFIG_CMD_LED=y -CONFIG_CMD_SPI=y -CONFIG_CMD_OF_NODE=y -CONFIG_CMD_OF_PROPERTY=y -CONFIG_CMD_OFTREE=y -CONFIG_OFDEVICE=y -CONFIG_DRIVER_SERIAL_AR933X=y -CONFIG_DRIVER_SPI_ATH79=y -CONFIG_MTD=y -# CONFIG_MTD_OOB_DEVICE is not set -CONFIG_MTD_M25P80=y -CONFIG_LED=y -CONFIG_LED_GPIO=y -CONFIG_LED_GPIO_OF=y -CONFIG_KEYBOARD_GPIO=y -CONFIG_DIGEST_SHA224_GENERIC=y -CONFIG_DIGEST_SHA256_GENERIC=y diff --git a/arch/mips/configs/dlink-dir-320_defconfig b/arch/mips/configs/dlink-dir-320_defconfig deleted file mode 100644 index ff0638a..0000000 --- a/arch/mips/configs/dlink-dir-320_defconfig +++ /dev/null @@ -1,63 +0,0 @@ -CONFIG_BUILTIN_DTB=y -CONFIG_BUILTIN_DTB_NAME="dlink-dir-320" -CONFIG_MACH_MIPS_BCM47XX=y -CONFIG_STACK_SIZE=0x7000 -CONFIG_EXPERIMENTAL=y -CONFIG_HUSH_FANCY_PROMPT=y -CONFIG_CMDLINE_EDITING=y -CONFIG_AUTO_COMPLETE=y -CONFIG_MENU=y -CONFIG_BOOTM_SHOW_TYPE=y -CONFIG_PARTITION=y -# CONFIG_DEFAULT_ENVIRONMENT is not set -CONFIG_POLLER=y -CONFIG_LONGHELP=y -CONFIG_CMD_IOMEM=y -CONFIG_CMD_MEMINFO=y -CONFIG_CMD_GO=y -CONFIG_CMD_LOADB=y -CONFIG_CMD_LOADY=y -CONFIG_CMD_RESET=y -CONFIG_CMD_PARTITION=y -CONFIG_CMD_EXPORT=y -CONFIG_CMD_LOADENV=y -CONFIG_CMD_PRINTENV=y -CONFIG_CMD_SAVEENV=y -CONFIG_CMD_MD5SUM=y -CONFIG_CMD_SHA1SUM=y -CONFIG_CMD_SHA256SUM=y -CONFIG_CMD_UNCOMPRESS=y -CONFIG_CMD_GETOPT=y -CONFIG_CMD_SLEEP=y -CONFIG_CMD_DHCP=y -CONFIG_CMD_PING=y -CONFIG_CMD_TFTP=y -CONFIG_CMD_ECHO_E=y -CONFIG_CMD_EDIT=y -CONFIG_CMD_LOGIN=y -CONFIG_CMD_MENU=y -CONFIG_CMD_MENU_MANAGEMENT=y -CONFIG_CMD_PASSWD=y -CONFIG_CMD_READLINE=y -CONFIG_CMD_TIMEOUT=y -CONFIG_CMD_CRC=y -CONFIG_CMD_CRC_CMP=y -CONFIG_CMD_MM=y -CONFIG_CMD_FLASH=y -CONFIG_CMD_OF_NODE=y -CONFIG_CMD_OF_PROPERTY=y -CONFIG_CMD_OFTREE=y -CONFIG_CMD_TIME=y -CONFIG_NET=y -CONFIG_NET_NFS=y -CONFIG_NET_NETCONSOLE=y -CONFIG_NET_RESOLV=y -CONFIG_OFDEVICE=y -CONFIG_OF_BAREBOX_DRIVERS=y -# CONFIG_SPI is not set -CONFIG_MTD=y -CONFIG_FS_CRAMFS=y -CONFIG_FS_TFTP=y -CONFIG_FS_FAT=y -CONFIG_FS_FAT_WRITE=y -CONFIG_FS_FAT_LFN=y diff --git a/arch/mips/configs/dptechnics-dpt-module_defconfig b/arch/mips/configs/dptechnics-dpt-module_defconfig deleted file mode 100644 index c01b22b..0000000 --- a/arch/mips/configs/dptechnics-dpt-module_defconfig +++ /dev/null @@ -1,89 +0,0 @@ -CONFIG_BUILTIN_DTB=y -CONFIG_BUILTIN_DTB_NAME="ar9331-dptechnics-dpt-module" -CONFIG_MACH_MIPS_ATH79=y -CONFIG_BOARD_DPTECHNICS_DPT_MODULE=y -CONFIG_PBL_IMAGE=y -CONFIG_IMAGE_COMPRESSION_XZKERN=y -CONFIG_MMU=y -CONFIG_TEXT_BASE=0x82000000 -CONFIG_MALLOC_TLSF=y -CONFIG_HUSH_FANCY_PROMPT=y -CONFIG_CMDLINE_EDITING=y -CONFIG_AUTO_COMPLETE=y -CONFIG_CONSOLE_RATP=y -CONFIG_DEFAULT_ENVIRONMENT_GENERIC_NEW=y -CONFIG_RESET_SOURCE=y -CONFIG_CMD_DMESG=y -CONFIG_LONGHELP=y -CONFIG_CMD_IOMEM=y -CONFIG_CMD_IMD=y -CONFIG_CMD_MEMINFO=y -CONFIG_CMD_GO=y -CONFIG_CMD_LOADB=y -CONFIG_CMD_LOADY=y -CONFIG_CMD_RESET=y -CONFIG_CMD_EXPORT=y -CONFIG_CMD_DEFAULTENV=y -CONFIG_CMD_LOADENV=y -CONFIG_CMD_MAGICVAR=y -CONFIG_CMD_MAGICVAR_HELP=y -CONFIG_CMD_SAVEENV=y -CONFIG_CMD_SHA1SUM=y -CONFIG_CMD_UNCOMPRESS=y -CONFIG_CMD_LET=y -CONFIG_CMD_MSLEEP=y -CONFIG_CMD_READF=y -CONFIG_CMD_SLEEP=y -CONFIG_CMD_DHCP=y -CONFIG_CMD_HOST=y -CONFIG_CMD_MIITOOL=y -CONFIG_CMD_PING=y -CONFIG_CMD_ECHO_E=y -CONFIG_CMD_EDIT=y -CONFIG_CMD_READLINE=y -CONFIG_CMD_TIMEOUT=y -CONFIG_CMD_CRC=y -CONFIG_CMD_MEMTEST=y -CONFIG_CMD_MM=y -CONFIG_CMD_CLK=y -CONFIG_CMD_DETECT=y -CONFIG_CMD_FLASH=y -CONFIG_CMD_GPIO=y -CONFIG_CMD_LED=y -CONFIG_CMD_POWEROFF=y -CONFIG_CMD_SPI=y -CONFIG_CMD_LED_TRIGGER=y -CONFIG_CMD_WD=y -CONFIG_CMD_BAREBOX_UPDATE=y -CONFIG_CMD_OF_NODE=y -CONFIG_CMD_OF_PROPERTY=y -CONFIG_CMD_OFTREE=y -CONFIG_CMD_TIME=y -CONFIG_NET=y -CONFIG_NET_NFS=y -CONFIG_NET_NETCONSOLE=y -CONFIG_NET_SNTP=y -CONFIG_OFDEVICE=y -CONFIG_OF_BAREBOX_DRIVERS=y -CONFIG_OF_BAREBOX_ENV_IN_FS=y -CONFIG_DRIVER_SERIAL_AR933X=y -CONFIG_DRIVER_NET_AG71XX=y -CONFIG_AR8327N_PHY=y -CONFIG_AT803X_PHY=y -CONFIG_MDIO_BITBANG=y -CONFIG_MDIO_GPIO=y -CONFIG_DRIVER_SPI_ATH79=y -CONFIG_MTD=y -# CONFIG_MTD_OOB_DEVICE is not set -CONFIG_MTD_M25P80=y -CONFIG_LED=y -CONFIG_LED_GPIO=y -CONFIG_LED_GPIO_OF=y -CONFIG_LED_TRIGGERS=y -CONFIG_WATCHDOG=y -CONFIG_WATCHDOG_AR9344=y -CONFIG_FS_TFTP=y -CONFIG_FS_NFS=y -CONFIG_FS_RATP=y -CONFIG_DIGEST_SHA224_GENERIC=y -CONFIG_DIGEST_SHA256_GENERIC=y diff --git a/arch/mips/configs/img-ci20_defconfig b/arch/mips/configs/img-ci20_defconfig deleted file mode 100644 index ada82c6..0000000 --- a/arch/mips/configs/img-ci20_defconfig +++ /dev/null @@ -1,45 +0,0 @@ -CONFIG_BUILTIN_DTB=y -CONFIG_BUILTIN_DTB_NAME="img-ci20" -CONFIG_MACH_MIPS_XBURST=y -CONFIG_BOARD_CI20=y -CONFIG_PBL_IMAGE=y -CONFIG_GLOB=y -CONFIG_HUSH_FANCY_PROMPT=y -CONFIG_CMDLINE_EDITING=y -CONFIG_AUTO_COMPLETE=y -CONFIG_BOOTM_SHOW_TYPE=y -CONFIG_BOOTM_VERBOSE=y -CONFIG_BOOTM_INITRD=y -CONFIG_BOOTM_OFTREE=y -CONFIG_BOOTM_OFTREE_UIMAGE=y -# CONFIG_DEFAULT_ENVIRONMENT is not set -CONFIG_POLLER=y -CONFIG_DEBUG_LL=y -CONFIG_LONGHELP=y -CONFIG_CMD_IOMEM=y -CONFIG_CMD_MEMINFO=y -CONFIG_CMD_GO=y -CONFIG_CMD_LOADB=y -CONFIG_CMD_LOADS=y -CONFIG_CMD_LOADY=y -CONFIG_CMD_RESET=y -CONFIG_CMD_SAVES=y -CONFIG_CMD_UIMAGE=y -CONFIG_CMD_MD5SUM=y -CONFIG_CMD_GETOPT=y -CONFIG_CMD_SLEEP=y -CONFIG_CMD_DHCP=y -CONFIG_CMD_MIITOOL=y -CONFIG_CMD_PING=y -CONFIG_CMD_TFTP=y -CONFIG_CMD_EDIT=y -CONFIG_CMD_GPIO=y -CONFIG_CMD_OF_NODE=y -CONFIG_CMD_OF_PROPERTY=y -CONFIG_CMD_OFTREE=y -CONFIG_NET=y -CONFIG_OFDEVICE=y -CONFIG_DRIVER_NET_DM9K=y -# CONFIG_SPI is not set -CONFIG_CLOCKSOURCE_DUMMY_RATE=3500 -CONFIG_FS_TFTP=y diff --git a/arch/mips/configs/qemu-malta_defconfig b/arch/mips/configs/qemu-malta_defconfig index 9671e93..1314783 100644 --- a/arch/mips/configs/qemu-malta_defconfig +++ b/arch/mips/configs/qemu-malta_defconfig @@ -1,6 +1,6 @@ -CONFIG_BUILTIN_DTB=y -CONFIG_BUILTIN_DTB_NAME="qemu-malta" -CONFIG_PBL_IMAGE=y +CONFIG_MIPS_MALTA_MULTI_BOARDS=y +CONFIG_BOARD_QEMU_MALTA=y +CONFIG_IMAGE_COMPRESSION_XZKERN=y CONFIG_BAREBOX_MAX_IMAGE_SIZE=0x400000 CONFIG_STACK_SIZE=0x7000 CONFIG_EXPERIMENTAL=y @@ -10,10 +10,12 @@ CONFIG_AUTO_COMPLETE=y CONFIG_MENU=y CONFIG_BOOTM_SHOW_TYPE=y +CONFIG_CONSOLE_ALLOW_COLOR=y CONFIG_PARTITION=y -# CONFIG_DEFAULT_ENVIRONMENT is not set +CONFIG_DEFAULT_ENVIRONMENT_GENERIC_NEW=y CONFIG_POLLER=y CONFIG_DEBUG_INFO=y +CONFIG_CMD_DMESG=y CONFIG_LONGHELP=y CONFIG_CMD_IOMEM=y CONFIG_CMD_IMD=y @@ -24,6 +26,7 @@ CONFIG_CMD_RESET=y CONFIG_CMD_PARTITION=y CONFIG_CMD_EXPORT=y +CONFIG_CMD_DEFAULTENV=y CONFIG_CMD_LOADENV=y CONFIG_CMD_PRINTENV=y CONFIG_CMD_SAVEENV=y @@ -31,7 +34,6 @@ CONFIG_CMD_SHA1SUM=y CONFIG_CMD_SHA256SUM=y CONFIG_CMD_UNCOMPRESS=y -CONFIG_CMD_GETOPT=y CONFIG_CMD_SLEEP=y CONFIG_CMD_DHCP=y CONFIG_CMD_MIITOOL=y diff --git a/arch/mips/configs/ritmix-rzx50_defconfig b/arch/mips/configs/ritmix-rzx50_defconfig deleted file mode 100644 index 3fb978a..0000000 --- a/arch/mips/configs/ritmix-rzx50_defconfig +++ /dev/null @@ -1,51 +0,0 @@ -CONFIG_BUILTIN_DTB=y -CONFIG_BUILTIN_DTB_NAME="rzx50" -CONFIG_MACH_MIPS_XBURST=y -CONFIG_JZ47XX_DEBUG_LL_UART1=y -CONFIG_PBL_IMAGE=y -CONFIG_BAUDRATE=57600 -CONFIG_GLOB=y -CONFIG_HUSH_FANCY_PROMPT=y -CONFIG_CMDLINE_EDITING=y -CONFIG_AUTO_COMPLETE=y -CONFIG_BOOTM_SHOW_TYPE=y -CONFIG_BOOTM_VERBOSE=y -CONFIG_BOOTM_INITRD=y -CONFIG_BOOTM_OFTREE=y -CONFIG_BOOTM_OFTREE_UIMAGE=y -# CONFIG_DEFAULT_ENVIRONMENT is not set -CONFIG_DEBUG_LL=y -CONFIG_LONGHELP=y -CONFIG_CMD_IOMEM=y -CONFIG_CMD_IMD=y -CONFIG_CMD_MEMINFO=y -CONFIG_CMD_GO=y -CONFIG_CMD_LOADB=y -CONFIG_CMD_LOADS=y -CONFIG_CMD_LOADY=y -CONFIG_CMD_RESET=y -CONFIG_CMD_SAVES=y -CONFIG_CMD_UIMAGE=y -CONFIG_CMD_MD5SUM=y -CONFIG_CMD_GETOPT=y -CONFIG_CMD_SLEEP=y -CONFIG_CMD_EDIT=y -CONFIG_CMD_GPIO=y -CONFIG_CMD_LED=y -CONFIG_CMD_POWEROFF=y -CONFIG_CMD_LED_TRIGGER=y -CONFIG_CMD_OF_NODE=y -CONFIG_CMD_OF_PROPERTY=y -CONFIG_CMD_OFTREE=y -CONFIG_OFDEVICE=y -# CONFIG_SPI is not set -CONFIG_LED=y -CONFIG_LED_GPIO=y -CONFIG_LED_GPIO_OF=y -CONFIG_LED_TRIGGERS=y -CONFIG_GPIO_JZ4740=y -CONFIG_RTC_CLASS=y -CONFIG_RTC_DRV_JZ4740=y -CONFIG_DIGEST_SHA1_GENERIC=y -CONFIG_DIGEST_SHA224_GENERIC=y -CONFIG_DIGEST_SHA256_GENERIC=y diff --git a/arch/mips/configs/tplink-mr3020_defconfig b/arch/mips/configs/tplink-mr3020_defconfig deleted file mode 100644 index 4193bd6..0000000 --- a/arch/mips/configs/tplink-mr3020_defconfig +++ /dev/null @@ -1,81 +0,0 @@ -CONFIG_BUILTIN_DTB=y -CONFIG_BUILTIN_DTB_NAME="tplink-mr3020" -CONFIG_MACH_MIPS_ATH79=y -CONFIG_PBL_IMAGE=y -CONFIG_IMAGE_COMPRESSION_XZKERN=y -CONFIG_MMU=y -CONFIG_TEXT_BASE=0x81000000 -CONFIG_MALLOC_TLSF=y -CONFIG_HUSH_FANCY_PROMPT=y -CONFIG_CMDLINE_EDITING=y -CONFIG_AUTO_COMPLETE=y -CONFIG_DEFAULT_ENVIRONMENT_GENERIC_NEW=y -CONFIG_CMD_DMESG=y -CONFIG_LONGHELP=y -CONFIG_CMD_IOMEM=y -CONFIG_CMD_IMD=y -CONFIG_CMD_MEMINFO=y -CONFIG_CMD_GO=y -CONFIG_CMD_LOADB=y -CONFIG_CMD_LOADY=y -CONFIG_CMD_RESET=y -CONFIG_CMD_EXPORT=y -CONFIG_CMD_DEFAULTENV=y -CONFIG_CMD_LOADENV=y -CONFIG_CMD_MAGICVAR=y -CONFIG_CMD_MAGICVAR_HELP=y -CONFIG_CMD_SAVEENV=y -CONFIG_CMD_SHA1SUM=y -CONFIG_CMD_UNCOMPRESS=y -CONFIG_CMD_LET=y -CONFIG_CMD_MSLEEP=y -CONFIG_CMD_READF=y -CONFIG_CMD_SLEEP=y -CONFIG_CMD_DHCP=y -CONFIG_CMD_HOST=y -CONFIG_CMD_MIITOOL=y -CONFIG_CMD_PING=y -CONFIG_CMD_ECHO_E=y -CONFIG_CMD_EDIT=y -CONFIG_CMD_READLINE=y -CONFIG_CMD_TIMEOUT=y -CONFIG_CMD_CRC=y -CONFIG_CMD_MEMTEST=y -CONFIG_CMD_MM=y -CONFIG_CMD_CLK=y -CONFIG_CMD_DETECT=y -CONFIG_CMD_FLASH=y -CONFIG_CMD_GPIO=y -CONFIG_CMD_LED=y -CONFIG_CMD_POWEROFF=y -CONFIG_CMD_SPI=y -CONFIG_CMD_LED_TRIGGER=y -CONFIG_CMD_BAREBOX_UPDATE=y -CONFIG_CMD_OF_NODE=y -CONFIG_CMD_OF_PROPERTY=y -CONFIG_CMD_OFTREE=y -CONFIG_CMD_TIME=y -CONFIG_NET=y -CONFIG_NET_NFS=y -CONFIG_NET_NETCONSOLE=y -CONFIG_NET_SNTP=y -CONFIG_OFDEVICE=y -CONFIG_OF_BAREBOX_DRIVERS=y -CONFIG_OF_BAREBOX_ENV_IN_FS=y -CONFIG_DRIVER_SERIAL_AR933X=y -CONFIG_DRIVER_NET_AG71XX=y -CONFIG_AT803X_PHY=y -CONFIG_MDIO_BITBANG=y -CONFIG_MDIO_GPIO=y -CONFIG_DRIVER_SPI_ATH79=y -CONFIG_MTD=y -# CONFIG_MTD_OOB_DEVICE is not set -CONFIG_MTD_M25P80=y -CONFIG_LED=y -CONFIG_LED_GPIO=y -CONFIG_LED_GPIO_OF=y -CONFIG_LED_TRIGGERS=y -CONFIG_FS_TFTP=y -CONFIG_FS_NFS=y -CONFIG_DIGEST_SHA224_GENERIC=y -CONFIG_DIGEST_SHA256_GENERIC=y diff --git a/arch/mips/configs/tplink-wdr4300_defconfig b/arch/mips/configs/tplink-wdr4300_defconfig deleted file mode 100644 index 46093d2..0000000 --- a/arch/mips/configs/tplink-wdr4300_defconfig +++ /dev/null @@ -1,82 +0,0 @@ -CONFIG_BUILTIN_DTB=y -CONFIG_BUILTIN_DTB_NAME="ar9344-tl-wdr4300-v1.7" -CONFIG_MACH_MIPS_ATH79=y -CONFIG_BOARD_TPLINK_WDR4300=y -CONFIG_PBL_IMAGE=y -CONFIG_IMAGE_COMPRESSION_XZKERN=y -CONFIG_MMU=y -CONFIG_TEXT_BASE=0x81000000 -CONFIG_MALLOC_TLSF=y -CONFIG_HUSH_FANCY_PROMPT=y -CONFIG_CMDLINE_EDITING=y -CONFIG_AUTO_COMPLETE=y -CONFIG_DEFAULT_ENVIRONMENT_GENERIC_NEW=y -CONFIG_CMD_DMESG=y -CONFIG_LONGHELP=y -CONFIG_CMD_IOMEM=y -CONFIG_CMD_IMD=y -CONFIG_CMD_MEMINFO=y -CONFIG_CMD_GO=y -CONFIG_CMD_LOADB=y -CONFIG_CMD_LOADY=y -CONFIG_CMD_RESET=y -CONFIG_CMD_EXPORT=y -CONFIG_CMD_DEFAULTENV=y -CONFIG_CMD_LOADENV=y -CONFIG_CMD_MAGICVAR=y -CONFIG_CMD_MAGICVAR_HELP=y -CONFIG_CMD_SAVEENV=y -CONFIG_CMD_SHA1SUM=y -CONFIG_CMD_UNCOMPRESS=y -CONFIG_CMD_LET=y -CONFIG_CMD_MSLEEP=y -CONFIG_CMD_READF=y -CONFIG_CMD_SLEEP=y -CONFIG_CMD_DHCP=y -CONFIG_CMD_HOST=y -CONFIG_CMD_MIITOOL=y -CONFIG_CMD_PING=y -CONFIG_CMD_ECHO_E=y -CONFIG_CMD_EDIT=y -CONFIG_CMD_READLINE=y -CONFIG_CMD_TIMEOUT=y -CONFIG_CMD_CRC=y -CONFIG_CMD_MEMTEST=y -CONFIG_CMD_MM=y -CONFIG_CMD_CLK=y -CONFIG_CMD_DETECT=y -CONFIG_CMD_FLASH=y -CONFIG_CMD_GPIO=y -CONFIG_CMD_LED=y -CONFIG_CMD_POWEROFF=y -CONFIG_CMD_SPI=y -CONFIG_CMD_LED_TRIGGER=y -CONFIG_CMD_BAREBOX_UPDATE=y -CONFIG_CMD_OF_NODE=y -CONFIG_CMD_OF_PROPERTY=y -CONFIG_CMD_OFTREE=y -CONFIG_CMD_TIME=y -CONFIG_NET=y -CONFIG_NET_NFS=y -CONFIG_NET_NETCONSOLE=y -CONFIG_NET_SNTP=y -CONFIG_OFDEVICE=y -CONFIG_OF_BAREBOX_DRIVERS=y -CONFIG_OF_BAREBOX_ENV_IN_FS=y -CONFIG_DRIVER_SERIAL_NS16550=y -CONFIG_DRIVER_NET_AG71XX=y -CONFIG_AR8327N_PHY=y -CONFIG_MDIO_BITBANG=y -CONFIG_MDIO_GPIO=y -CONFIG_DRIVER_SPI_ATH79=y -CONFIG_MTD=y -# CONFIG_MTD_OOB_DEVICE is not set -CONFIG_MTD_M25P80=y -CONFIG_LED=y -CONFIG_LED_GPIO=y -CONFIG_LED_GPIO_OF=y -CONFIG_LED_TRIGGERS=y -CONFIG_FS_TFTP=y -CONFIG_FS_NFS=y -CONFIG_DIGEST_SHA224_GENERIC=y -CONFIG_DIGEST_SHA256_GENERIC=y diff --git a/arch/mips/configs/xburst_defconfig b/arch/mips/configs/xburst_defconfig new file mode 100644 index 0000000..4305afc --- /dev/null +++ b/arch/mips/configs/xburst_defconfig @@ -0,0 +1,49 @@ +CONFIG_MACH_MIPS_XBURST=y +CONFIG_BOARD_RZX50=y +CONFIG_BOARD_CI20=y +CONFIG_CPU_MIPS32_R1=y +CONFIG_BAUDRATE=57600 +CONFIG_GLOB=y +CONFIG_HUSH_FANCY_PROMPT=y +CONFIG_CMDLINE_EDITING=y +CONFIG_AUTO_COMPLETE=y +CONFIG_BOOTM_SHOW_TYPE=y +CONFIG_BOOTM_VERBOSE=y +CONFIG_BOOTM_INITRD=y +CONFIG_BOOTM_OFTREE=y +CONFIG_BOOTM_OFTREE_UIMAGE=y +# CONFIG_DEFAULT_ENVIRONMENT is not set +CONFIG_LONGHELP=y +CONFIG_CMD_IOMEM=y +CONFIG_CMD_IMD=y +CONFIG_CMD_MEMINFO=y +CONFIG_CMD_GO=y +CONFIG_CMD_LOADB=y +CONFIG_CMD_LOADS=y +CONFIG_CMD_LOADY=y +CONFIG_CMD_RESET=y +CONFIG_CMD_SAVES=y +CONFIG_CMD_UIMAGE=y +CONFIG_CMD_MD5SUM=y +CONFIG_CMD_GETOPT=y +CONFIG_CMD_SLEEP=y +CONFIG_CMD_EDIT=y +CONFIG_CMD_GPIO=y +CONFIG_CMD_LED=y +CONFIG_CMD_POWEROFF=y +CONFIG_CMD_LED_TRIGGER=y +CONFIG_CMD_OF_NODE=y +CONFIG_CMD_OF_PROPERTY=y +CONFIG_CMD_OFTREE=y +CONFIG_OFDEVICE=y +# CONFIG_SPI is not set +CONFIG_LED=y +CONFIG_LED_GPIO=y +CONFIG_LED_GPIO_OF=y +CONFIG_LED_TRIGGERS=y +CONFIG_GPIO_JZ4740=y +CONFIG_RTC_CLASS=y +CONFIG_RTC_DRV_JZ4740=y +CONFIG_DIGEST_SHA1_GENERIC=y +CONFIG_DIGEST_SHA224_GENERIC=y +CONFIG_DIGEST_SHA256_GENERIC=y diff --git a/arch/mips/dts/Makefile b/arch/mips/dts/Makefile index ddd9039..7485f85 100644 --- a/arch/mips/dts/Makefile +++ b/arch/mips/dts/Makefile @@ -1,5 +1,17 @@ - BUILTIN_DTB := $(patsubst "%",%,$(CONFIG_BUILTIN_DTB_NAME)) -obj-$(CONFIG_BUILTIN_DTB) += $(BUILTIN_DTB).dtb.o +ifneq ($(BUILTIN_DTB),) +obj-dtb-$(CONFIG_BUILTIN_DTB) += $(BUILTIN_DTB).dtb.o +endif + +pbl-dtb-$(CONFIG_BOARD_8DEVICES_LIMA) += qca4531-8devices-lima.dtb.o +pbl-dtb-$(CONFIG_BOARD_BLACK_SWIFT) += black-swift.dtb.o +pbl-dtb-$(CONFIG_BOARD_CI20) += img-ci20.dtb.o +pbl-dtb-$(CONFIG_BOARD_DLINK_DIR320) += dlink-dir-320.dtb.o +pbl-dtb-$(CONFIG_BOARD_DPTECHNICS_DPT_MODULE) += ar9331-dptechnics-dpt-module.dtb.o +pbl-dtb-$(CONFIG_BOARD_LOONGSON_TECH_LS1B) += loongson-ls1b.dtb.o +pbl-dtb-$(CONFIG_BOARD_QEMU_MALTA) += qemu-malta.dtb.o +pbl-dtb-$(CONFIG_BOARD_RZX50) += rzx50.dtb.o +pbl-dtb-$(CONFIG_BOARD_TPLINK_MR3020) += tplink-mr3020.dtb.o +pbl-dtb-$(CONFIG_BOARD_TPLINK_WDR4300) += ar9344-tl-wdr4300-v1.7.dtb.o clean-files := *.dtb *.dtb.S .*.dtc .*.pre .*.dts *.dtb.lzo diff --git a/arch/mips/dts/img-ci20.dts b/arch/mips/dts/img-ci20.dts index d985666..e1d3280 100644 --- a/arch/mips/dts/img-ci20.dts +++ b/arch/mips/dts/img-ci20.dts @@ -1,19 +1,9 @@ +// SPDX-License-Identifier: GPL-2.0-or-later /* * CI20 device tree * * Copyright (c) 2013 Imagination Technologies * Author: Paul Burton - * - * This program is free software; you can redistribute it and/or - * modify it under the terms of the GNU General Public License as - * published by the Free Software Foundation; either version 2 of - * the License, or (at your option) any later version. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ /dts-v1/; diff --git a/arch/mips/include/asm/addrspace.h b/arch/mips/include/asm/addrspace.h index dc44d7f..11f10e5 100644 --- a/arch/mips/include/asm/addrspace.h +++ b/arch/mips/include/asm/addrspace.h @@ -1,8 +1,5 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* - * This file is subject to the terms and conditions of the GNU General Public - * License. See the file "COPYING" in the main directory of this archive - * for more details. - * * Copyright (C) 1996, 99 Ralf Baechle * Copyright (C) 2000, 2002 Maciej W. Rozycki * Copyright (C) 1990, 1999 by Silicon Graphics, Inc. diff --git a/arch/mips/include/asm/asm.h b/arch/mips/include/asm/asm.h index 12e1758..7a23f4e 100644 --- a/arch/mips/include/asm/asm.h +++ b/arch/mips/include/asm/asm.h @@ -1,8 +1,5 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* - * This file is subject to the terms and conditions of the GNU General Public - * License. See the file "COPYING" in the main directory of this archive - * for more details. - * * Copyright (C) 1995, 1996, 1997, 1999, 2001 by Ralf Baechle * Copyright (C) 1999 by Silicon Graphics, Inc. * Copyright (C) 2001 MIPS Technologies, Inc. @@ -80,6 +77,35 @@ symbol: /* + * ENTRY_FUNCTION - mark start of entry function + */ +#define ENTRY_FUNCTION(symbol) \ + .set noreorder; \ + .section .text_head_entry.symbol; \ + .align 4; \ + \ +EXPORT(symbol) + +/* + * ENTRY_FUNCTION_END - mark end of entry function + */ +#define ENTRY_FUNCTION_END(symbol, dtb) \ + mips_nmon; \ + copy_to_link_location symbol; \ + stack_setup; \ + \ + la a0, __dtb_ ## dtb##_start; \ + la a1, __dtb_ ## dtb##_end; \ + la v0, pbl_main_entry; \ + jal v0; \ + nop; \ + \ + /* No return */ \ +__error: \ + b __error; \ + nop; + +/* * FEXPORT - export definition of a function symbol */ #define FEXPORT(symbol) \ diff --git a/arch/mips/include/asm/barebox.h b/arch/mips/include/asm/barebox.h index e5b964c..2d13ee9 100644 --- a/arch/mips/include/asm/barebox.h +++ b/arch/mips/include/asm/barebox.h @@ -1,16 +1,4 @@ -/* - * This program is free software; you can redistribute it and/or - * modify it under the terms of the GNU General Public License as - * published by the Free Software Foundation; either version 2 of - * the License, or (at your option) any later version. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - * - */ +/* SPDX-License-Identifier: GPL-2.0-or-later */ #ifndef _ASM_MIPS_BAREBOX_H_ #define _ASM_MIPS_BAREBOX_H_ diff --git a/arch/mips/include/asm/bitops.h b/arch/mips/include/asm/bitops.h index e77ab83..e2bdf98 100644 --- a/arch/mips/include/asm/bitops.h +++ b/arch/mips/include/asm/bitops.h @@ -1,16 +1,4 @@ -/* - * This program is free software; you can redistribute it and/or - * modify it under the terms of the GNU General Public License as - * published by the Free Software Foundation; either version 2 of - * the License, or (at your option) any later version. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - * - */ +/* SPDX-License-Identifier: GPL-2.0-or-later */ #ifndef _ASM_BITOPS_H_ #define _ASM_BITOPS_H_ diff --git a/arch/mips/include/asm/byteorder.h b/arch/mips/include/asm/byteorder.h index 9579051..d692520 100644 --- a/arch/mips/include/asm/byteorder.h +++ b/arch/mips/include/asm/byteorder.h @@ -1,8 +1,5 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* - * This file is subject to the terms and conditions of the GNU General Public - * License. See the file "COPYING" in the main directory of this archive - * for more details. - * * Copyright (C) 1996, 99, 2003 by Ralf Baechle */ #ifndef _ASM_BYTEORDER_H diff --git a/arch/mips/include/asm/cacheops.h b/arch/mips/include/asm/cacheops.h index 3bc5852..9f60e02 100644 --- a/arch/mips/include/asm/cacheops.h +++ b/arch/mips/include/asm/cacheops.h @@ -1,10 +1,5 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* - * Cache operations for the cache instruction. - * - * This file is subject to the terms and conditions of the GNU General Public - * License. See the file "COPYING" in the main directory of this archive - * for more details. - * * (C) Copyright 1996, 97, 99, 2002, 03 Ralf Baechle * (C) Copyright 1999 Silicon Graphics, Inc. */ diff --git a/arch/mips/include/asm/common.h b/arch/mips/include/asm/common.h index 2f5419f..5316591 100644 --- a/arch/mips/include/asm/common.h +++ b/arch/mips/include/asm/common.h @@ -1,16 +1,4 @@ -/* - * This program is free software; you can redistribute it and/or - * modify it under the terms of the GNU General Public License as - * published by the Free Software Foundation; either version 2 of - * the License, or (at your option) any later version. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - * - */ +/* SPDX-License-Identifier: GPL-2.0-or-later */ /** * @file diff --git a/arch/mips/include/asm/cpu-features.h b/arch/mips/include/asm/cpu-features.h index 168d854..f076116 100644 --- a/arch/mips/include/asm/cpu-features.h +++ b/arch/mips/include/asm/cpu-features.h @@ -1,8 +1,5 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* - * This file is subject to the terms and conditions of the GNU General Public - * License. See the file "COPYING" in the main directory of this archive - * for more details. - * * Copyright (C) 2003, 2004 Ralf Baechle * Copyright (C) 2004 Maciej W. Rozycki */ diff --git a/arch/mips/include/asm/cpu-info.h b/arch/mips/include/asm/cpu-info.h index 6701730..98a492a 100644 --- a/arch/mips/include/asm/cpu-info.h +++ b/arch/mips/include/asm/cpu-info.h @@ -1,8 +1,5 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* - * This file is subject to the terms and conditions of the GNU General Public - * License. See the file "COPYING" in the main directory of this archive - * for more details. - * * Copyright (C) 1994 Waldorf GMBH * Copyright (C) 1995, 1996, 1997, 1998, 1999, 2001, 2002, 2003 Ralf Baechle * Copyright (C) 1996 Paul M. Antoine diff --git a/arch/mips/include/asm/debug_ll_ns16550.h b/arch/mips/include/asm/debug_ll_ns16550.h index 058814e..df58c4c 100644 --- a/arch/mips/include/asm/debug_ll_ns16550.h +++ b/arch/mips/include/asm/debug_ll_ns16550.h @@ -1,18 +1,6 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * Copyright (C) 2012, 2013 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ /** @file diff --git a/arch/mips/include/asm/dma.h b/arch/mips/include/asm/dma.h index 30a58c7..e0b4689 100644 --- a/arch/mips/include/asm/dma.h +++ b/arch/mips/include/asm/dma.h @@ -1,8 +1,6 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * Copyright (C) 2012 by Marc Kleine-Budde - * - * This file is released under the GPLv2 - * */ #ifndef __ASM_DMA_H diff --git a/arch/mips/include/asm/elf.h b/arch/mips/include/asm/elf.h index b8b8219..3dd6b82 100644 --- a/arch/mips/include/asm/elf.h +++ b/arch/mips/include/asm/elf.h @@ -1,8 +1,5 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* - * This file is subject to the terms and conditions of the GNU General Public - * License. See the file "COPYING" in the main directory of this archive - * for more details. - * * Much of this is taken from binutils and GNU libc ... */ diff --git a/arch/mips/include/asm/gt64120.h b/arch/mips/include/asm/gt64120.h index 88fa1fa..34d2382 100644 --- a/arch/mips/include/asm/gt64120.h +++ b/arch/mips/include/asm/gt64120.h @@ -1,19 +1,10 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * Copyright (C) 2000, 2004, 2005 MIPS Technologies, Inc. * All rights reserved. * Authors: Carsten Langgaard * Maciej W. Rozycki * Copyright (C) 2005 Ralf Baechle (ralf@linux-mips.org) - * - * This program is free software; you can distribute it and/or modify it - * under the terms of the GNU General Public License (Version 2) as - * published by the Free Software Foundation. - * - * This program is distributed in the hope it will be useful, but WITHOUT - * ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or - * FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License - * for more details. - * */ #ifndef _ASM_GT64120_H #define _ASM_GT64120_H diff --git a/arch/mips/include/asm/mipsregs.h b/arch/mips/include/asm/mipsregs.h index 30262e6..9e7d656 100644 --- a/arch/mips/include/asm/mipsregs.h +++ b/arch/mips/include/asm/mipsregs.h @@ -1,8 +1,5 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* - * This file is subject to the terms and conditions of the GNU General Public - * License. See the file "COPYING" in the main directory of this archive - * for more details. - * * Copyright (C) 1994, 1995, 1996, 1997, 2000, 2001 by Ralf Baechle * Copyright (C) 2000 Silicon Graphics, Inc. * Modified for further R[236]000 support by Paul M. Antoine, 1996. diff --git a/arch/mips/include/asm/module.h b/arch/mips/include/asm/module.h index 0978d89..1ffceee 100644 --- a/arch/mips/include/asm/module.h +++ b/arch/mips/include/asm/module.h @@ -1,16 +1,4 @@ -/* - * This program is free software; you can redistribute it and/or - * modify it under the terms of the GNU General Public License as - * published by the Free Software Foundation; either version 2 of - * the License, or (at your option) any later version. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - * - */ +/* SPDX-License-Identifier: GPL-2.0-or-later */ #ifndef _ASM_MIPS_MODULE_H_ #define _ASM_MIPS_MODULE_H_ diff --git a/arch/mips/include/asm/pbl_macros.h b/arch/mips/include/asm/pbl_macros.h index bb3182c..e78d1af 100644 --- a/arch/mips/include/asm/pbl_macros.h +++ b/arch/mips/include/asm/pbl_macros.h @@ -1,21 +1,9 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * Startup Code for MIPS CPU * * Copyright (C) 2011, 2012 Antony Pavlov * ADR macro copyrighted (C) 2009 by Shinya Kuribayashi - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #ifndef __ASM_PBL_MACROS_H diff --git a/arch/mips/include/asm/pbl_nmon.h b/arch/mips/include/asm/pbl_nmon.h index e0326bd..0e4ec39 100644 --- a/arch/mips/include/asm/pbl_nmon.h +++ b/arch/mips/include/asm/pbl_nmon.h @@ -1,20 +1,8 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * nano-monitor for MIPS CPU * * Copyright (C) 2013 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #include diff --git a/arch/mips/include/asm/posix_types.h b/arch/mips/include/asm/posix_types.h index c38e24a..bd58c39 100644 --- a/arch/mips/include/asm/posix_types.h +++ b/arch/mips/include/asm/posix_types.h @@ -1,8 +1,5 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* - * This file is subject to the terms and conditions of the GNU General Public - * License. See the file "COPYING" in the main directory of this archive - * for more details. - * * Copyright (C) 1996, 97, 98, 99, 2000 by Ralf Baechle * Copyright (C) 1999, 2000 Silicon Graphics, Inc. */ diff --git a/arch/mips/include/asm/ptrace.h b/arch/mips/include/asm/ptrace.h index 211834d..5e65199 100644 --- a/arch/mips/include/asm/ptrace.h +++ b/arch/mips/include/asm/ptrace.h @@ -1,8 +1,5 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* - * This file is subject to the terms and conditions of the GNU General Public - * License. See the file "COPYING" in the main directory of this archive - * for more details. - * * Copyright (C) 1994, 95, 96, 97, 98, 99, 2000 by Ralf Baechle * Copyright (C) 1999, 2000 Silicon Graphics, Inc. */ diff --git a/arch/mips/include/asm/regdef.h b/arch/mips/include/asm/regdef.h index 7c8ecb6..1300251 100644 --- a/arch/mips/include/asm/regdef.h +++ b/arch/mips/include/asm/regdef.h @@ -1,8 +1,5 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* - * This file is subject to the terms and conditions of the GNU General Public - * License. See the file "COPYING" in the main directory of this archive - * for more details. - * * Copyright (C) 1985 MIPS Computer Systems, Inc. * Copyright (C) 1994, 95, 99, 2003 by Ralf Baechle * Copyright (C) 1990 - 1992, 1999 Silicon Graphics, Inc. diff --git a/arch/mips/include/asm/sgidefs.h b/arch/mips/include/asm/sgidefs.h index 876442f..6bdea3e 100644 --- a/arch/mips/include/asm/sgidefs.h +++ b/arch/mips/include/asm/sgidefs.h @@ -1,8 +1,5 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* - * This file is subject to the terms and conditions of the GNU General Public - * License. See the file "COPYING" in the main directory of this archive - * for more details. - * * Copyright (C) 1996, 1999, 2001 Ralf Baechle * Copyright (C) 1999 Silicon Graphics, Inc. * Copyright (C) 2001 MIPS Technologies, Inc. diff --git a/arch/mips/include/asm/stackframe.h b/arch/mips/include/asm/stackframe.h index abfa2d2..2295d7f 100644 --- a/arch/mips/include/asm/stackframe.h +++ b/arch/mips/include/asm/stackframe.h @@ -1,8 +1,5 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* - * This file is subject to the terms and conditions of the GNU General Public - * License. See the file "COPYING" in the main directory of this archive - * for more details. - * * Copyright (C) 1994, 95, 96, 99, 2001 Ralf Baechle * Copyright (C) 1994, 1995, 1996 Paul M. Antoine. * Copyright (C) 1999 Silicon Graphics, Inc. diff --git a/arch/mips/include/asm/string.h b/arch/mips/include/asm/string.h index a797a74..9e58149 100644 --- a/arch/mips/include/asm/string.h +++ b/arch/mips/include/asm/string.h @@ -1,16 +1,4 @@ -/* - * This program is free software; you can redistribute it and/or - * modify it under the terms of the GNU General Public License as - * published by the Free Software Foundation; either version 2 of - * the License, or (at your option) any later version. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * - * - */ +/* SPDX-License-Identifier: GPL-2.0-or-later */ /** * @file diff --git a/arch/mips/include/asm/swab.h b/arch/mips/include/asm/swab.h index 97c2f81..d84eb18 100644 --- a/arch/mips/include/asm/swab.h +++ b/arch/mips/include/asm/swab.h @@ -1,8 +1,5 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* - * This file is subject to the terms and conditions of the GNU General Public - * License. See the file "COPYING" in the main directory of this archive - * for more details. - * * Copyright (C) 1996, 99, 2003 by Ralf Baechle */ #ifndef _ASM_SWAB_H diff --git a/arch/mips/include/asm/types.h b/arch/mips/include/asm/types.h index 4140c92..cd76f53 100644 --- a/arch/mips/include/asm/types.h +++ b/arch/mips/include/asm/types.h @@ -1,8 +1,5 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* - * This file is subject to the terms and conditions of the GNU General Public - * License. See the file "COPYING" in the main directory of this archive - * for more details. - * * Copyright (C) 1994, 1995, 1996, 1999 by Ralf Baechle * Copyright (C) 2008 Wind River Systems, * written by Ralf Baechle diff --git a/arch/mips/include/asm/unaligned.h b/arch/mips/include/asm/unaligned.h index 42f66c3..acb649c 100644 --- a/arch/mips/include/asm/unaligned.h +++ b/arch/mips/include/asm/unaligned.h @@ -1,8 +1,5 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* - * This file is subject to the terms and conditions of the GNU General Public - * License. See the file "COPYING" in the main directory of this archive - * for more details. - * * Copyright (C) 2007 Ralf Baechle (ralf@linux-mips.org) */ #ifndef _ASM_MIPS_UNALIGNED_H diff --git a/arch/mips/lib/barebox.lds.S b/arch/mips/lib/barebox.lds.S index c4026bb..8ddf954 100644 --- a/arch/mips/lib/barebox.lds.S +++ b/arch/mips/lib/barebox.lds.S @@ -1,18 +1,6 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * Copyright (C) 2011 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ diff --git a/arch/mips/lib/c-r4k.c b/arch/mips/lib/c-r4k.c index cb0544a..8bc0a7a 100644 --- a/arch/mips/lib/c-r4k.c +++ b/arch/mips/lib/c-r4k.c @@ -1,8 +1,5 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* - * This file is subject to the terms and conditions of the GNU General Public - * License. See the file "COPYING" in the main directory of this archive - * for more details. - * * Copyright (C) 1996 David S. Miller (davem@davemloft.net) * Copyright (C) 1997, 1998, 1999, 2000, 2001, 2002 Ralf Baechle (ralf@gnu.org) * Copyright (C) 1999, 2000 Silicon Graphics, Inc. diff --git a/arch/mips/lib/cpu-probe.c b/arch/mips/lib/cpu-probe.c index b5d63db..cf63849 100644 --- a/arch/mips/lib/cpu-probe.c +++ b/arch/mips/lib/cpu-probe.c @@ -1,3 +1,4 @@ +// SPDX-License-Identifier: GPL-2.0-or-later /* * Processor capabilities determination functions. * @@ -5,11 +6,6 @@ * Copyright (C) 1994 - 2006 Ralf Baechle * Copyright (C) 2003, 2004 Maciej W. Rozycki * Copyright (C) 2001, 2004 MIPS Inc. - * - * This program is free software; you can redistribute it and/or - * modify it under the terms of the GNU General Public License - * as published by the Free Software Foundation; either version - * 2 of the License, or (at your option) any later version. */ #include #include diff --git a/arch/mips/lib/cpuinfo.c b/arch/mips/lib/cpuinfo.c index fb02a4d..fd27920 100644 --- a/arch/mips/lib/cpuinfo.c +++ b/arch/mips/lib/cpuinfo.c @@ -1,20 +1,8 @@ +// SPDX-License-Identifier: GPL-2.0-only /* * cpuinfo - show information about MIPS CPU * * Copyright (C) 2011 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #include diff --git a/arch/mips/lib/csrc-r4k.c b/arch/mips/lib/csrc-r4k.c index 6f6e18c..9facf04 100644 --- a/arch/mips/lib/csrc-r4k.c +++ b/arch/mips/lib/csrc-r4k.c @@ -1,18 +1,6 @@ +// SPDX-License-Identifier: GPL-2.0-only /* * Copyright (C) 2011 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ /** diff --git a/arch/mips/lib/dma-default.c b/arch/mips/lib/dma-default.c index 71c1e42..fbe627c 100644 --- a/arch/mips/lib/dma-default.c +++ b/arch/mips/lib/dma-default.c @@ -1,15 +1,6 @@ +// SPDX-License-Identifier: GPL-2.0-only /* * (C) Copyright 2015, 2016 Peter Mamonov - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #include diff --git a/arch/mips/lib/memcpy.S b/arch/mips/lib/memcpy.S index a5af0c9..cee0319 100644 --- a/arch/mips/lib/memcpy.S +++ b/arch/mips/lib/memcpy.S @@ -1,8 +1,5 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* - * This file is subject to the terms and conditions of the GNU General Public - * License. See the file "COPYING" in the main directory of this archive - * for more details. - * * Copyright (C) 1998, 99, 2000, 01, 2002 Ralf Baechle (ralf@gnu.org) * Copyright (C) 1999, 2000, 01, 2002 Silicon Graphics, Inc. * Copyright (C) 2002 Broadcom, Inc. diff --git a/arch/mips/lib/memset.S b/arch/mips/lib/memset.S index d3c1c72..0b81bd7 100644 --- a/arch/mips/lib/memset.S +++ b/arch/mips/lib/memset.S @@ -1,8 +1,5 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* - * This file is subject to the terms and conditions of the GNU General Public - * License. See the file "COPYING" in the main directory of this archive - * for more details. - * * Copyright (C) 1998, 1999, 2000 by Ralf Baechle * Copyright (C) 1999, 2000 Silicon Graphics, Inc. * Copyright (C) 2007 by Maciej W. Rozycki diff --git a/arch/mips/lib/pbl.lds.S b/arch/mips/lib/pbl.lds.S new file mode 100644 index 0000000..1f0285d --- /dev/null +++ b/arch/mips/lib/pbl.lds.S @@ -0,0 +1,53 @@ +/* SPDX-License-Identifier: GPL-2.0-or-later */ +/* + * (C) Copyright 2018 Oleksij Rempel , Pengutronix + * (C) Copyright 2012 Sascha Hauer , Pengutronix + */ + +#include +#include + +OUTPUT_ARCH("mips") +SECTIONS +{ + . = HEAD_TEXT_BASE; + + PRE_IMAGE + + . = ALIGN(4); + .text : + { + _stext = .; + _text = .; + *(.text_head_entry*) + __bare_init_start = .; + *(.text_bare_init*) + __bare_init_end = .; + *(.text*) + } + + BAREBOX_BARE_INIT_SIZE + + . = ALIGN(4); + .rodata : { *(.rodata*) } + + .barebox_imd : { BAREBOX_IMD } + + _etext = .; /* End of text and rodata section */ + + . = ALIGN(4); + .data : { *(.data*) } + + . = ALIGN(4); + __piggydata_start = .; + .piggydata : { + *(.piggydata) + } + __piggydata_end = .; + + . = ALIGN(4); + __bss_start = .; + .bss : { *(.bss*) } + __bss_stop = .; + _end = .; +} diff --git a/arch/mips/mach-ar231x/ar231x.c b/arch/mips/mach-ar231x/ar231x.c index 3a0103b..7dc4156 100644 --- a/arch/mips/mach-ar231x/ar231x.c +++ b/arch/mips/mach-ar231x/ar231x.c @@ -1,3 +1,4 @@ +// SPDX-License-Identifier: GPL-2.0-or-later /* * Based on Linux driver: * Copyright (C) 2003 Atheros Communications, Inc., All Rights Reserved. @@ -6,11 +7,6 @@ * Copyright (C) 2006-2009 Felix Fietkau * Ported to Barebox: * Copyright (C) 2013 Oleksij Rempel - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License as published by - * the Free Software Foundation; either version 2 of the License, or - * (at your option) any later version. */ #include diff --git a/arch/mips/mach-ar231x/ar231x_reset.c b/arch/mips/mach-ar231x/ar231x_reset.c index 7c322d8..f88167b 100644 --- a/arch/mips/mach-ar231x/ar231x_reset.c +++ b/arch/mips/mach-ar231x/ar231x_reset.c @@ -1,10 +1,6 @@ +// SPDX-License-Identifier: GPL-2.0-or-later /* * Copyright (C) 2013 Oleksij Rempel - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License as published by - * the Free Software Foundation; either version 2 of the License, or - * (at your option) any later version. */ #include diff --git a/arch/mips/mach-ar231x/board.c b/arch/mips/mach-ar231x/board.c index 8bd1787..48bd736 100644 --- a/arch/mips/mach-ar231x/board.c +++ b/arch/mips/mach-ar231x/board.c @@ -1,3 +1,4 @@ +// SPDX-License-Identifier: GPL-2.0-or-later /* * Based on Linux driver: * Copyright (C) 2003 Atheros Communications, Inc., All Rights Reserved. @@ -6,11 +7,6 @@ * Copyright (C) 2006-2009 Felix Fietkau * Ported to Barebox: * Copyright (C) 2013 Oleksij Rempel - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License as published by - * the Free Software Foundation; either version 2 of the License, or - * (at your option) any later version. */ diff --git a/arch/mips/mach-ar231x/include/mach/ar2312_regs.h b/arch/mips/mach-ar231x/include/mach/ar2312_regs.h index 7ac1b09..fa0ad5d 100644 --- a/arch/mips/mach-ar231x/include/mach/ar2312_regs.h +++ b/arch/mips/mach-ar231x/include/mach/ar2312_regs.h @@ -1,3 +1,4 @@ +/* SPDX-License-Identifier: GPL-2.0-or-later */ /* * Based on Linux driver: * Copyright (C) 2003 Atheros Communications, Inc., All Rights Reserved. @@ -5,11 +6,6 @@ * Copyright (C) 2006-2009 Felix Fietkau * Ported to Barebox: * Copyright (C) 2013 Oleksij Rempel - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License as published by - * the Free Software Foundation; either version 2 of the License, or - * (at your option) any later version. */ #ifndef AR2312_H diff --git a/arch/mips/mach-ar231x/include/mach/ar231x_platform.h b/arch/mips/mach-ar231x/include/mach/ar231x_platform.h index 18f55b6..561ec30 100644 --- a/arch/mips/mach-ar231x/include/mach/ar231x_platform.h +++ b/arch/mips/mach-ar231x/include/mach/ar231x_platform.h @@ -1,3 +1,4 @@ +/* SPDX-License-Identifier: GPL-2.0-or-later */ /* * Based on Linux driver: * Copyright (C) 2003 Atheros Communications, Inc., All Rights Reserved. @@ -6,11 +7,6 @@ * Copyright (C) 2006-2009 Felix Fietkau * Ported to Barebox: * Copyright (C) 2013 Oleksij Rempel - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License as published by - * the Free Software Foundation; either version 2 of the License, or - * (at your option) any later version. */ #ifndef __AR231X_PLATFORM_H diff --git a/arch/mips/mach-ar231x/include/mach/debug_ll.h b/arch/mips/mach-ar231x/include/mach/debug_ll.h index 5ab7f9a..50f442a 100644 --- a/arch/mips/mach-ar231x/include/mach/debug_ll.h +++ b/arch/mips/mach-ar231x/include/mach/debug_ll.h @@ -1,18 +1,6 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * Copyright (C) 2013 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #ifndef __MACH_AR231X_DEBUG_LL__ @@ -21,7 +9,6 @@ /** @file * This File contains declaration for early output support */ -#include #include #endif /* __MACH_AR231X_DEBUG_LL__ */ diff --git a/arch/mips/mach-ath79/Kconfig b/arch/mips/mach-ath79/Kconfig index e4e10a2..4bc1d88 100644 --- a/arch/mips/mach-ath79/Kconfig +++ b/arch/mips/mach-ath79/Kconfig @@ -10,8 +10,18 @@ config SOC_QCA_AR9344 bool +if DEBUG_LL choice - prompt "Board type" + prompt "DEBUG_LL driver" + +config DEBUG_AR9331_UART + bool "AR9331 Debug UART" + +config DEBUG_AR9344_UART + bool "AR9344 Debug UART" + +endchoice +endif # DEBUG_LL config BOARD_8DEVICES_LIMA bool "8devices LIMA" @@ -19,7 +29,6 @@ select HAVE_PBL_IMAGE select HAVE_IMAGE_COMPRESSION select HAS_NMON - select HAS_NO_BOARD_HL_CODE config BOARD_DPTECHNICS_DPT_MODULE bool "DPTechnics DPT-Module" @@ -27,7 +36,6 @@ select HAVE_PBL_IMAGE select HAVE_IMAGE_COMPRESSION select HAS_NMON - select HAS_NO_BOARD_HL_CODE config BOARD_TPLINK_MR3020 bool "TP-LINK MR3020" @@ -50,6 +58,4 @@ select HAVE_IMAGE_COMPRESSION select HAS_NMON -endchoice - endif diff --git a/arch/mips/mach-ath79/bbu.c b/arch/mips/mach-ath79/bbu.c index 701b575..0f64e61 100644 --- a/arch/mips/mach-ath79/bbu.c +++ b/arch/mips/mach-ath79/bbu.c @@ -1,14 +1,6 @@ +// SPDX-License-Identifier: GPL-2.0-or-later /* * Copyright (c) 2017 Oleksij Rempel - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. */ #include diff --git a/arch/mips/mach-ath79/include/mach/ar71xx_regs.h b/arch/mips/mach-ath79/include/mach/ar71xx_regs.h index f73700b..f7737d6 100644 --- a/arch/mips/mach-ath79/include/mach/ar71xx_regs.h +++ b/arch/mips/mach-ath79/include/mach/ar71xx_regs.h @@ -1,3 +1,4 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * Atheros AR71XX/AR724X/AR913X SoC register definitions * @@ -6,10 +7,6 @@ * Copyright (C) 2008 Imre Kaloz * * Parts of this file are based on Atheros' 2.6.15/2.6.31 BSP - * - * This program is free software; you can redistribute it and/or modify it - * under the terms of the GNU General Public License version 2 as published - * by the Free Software Foundation. */ #ifndef __ASM_MACH_AR71XX_REGS_H diff --git a/arch/mips/mach-ath79/include/mach/ath79.h b/arch/mips/mach-ath79/include/mach/ath79.h index ff53406..206bd22 100644 --- a/arch/mips/mach-ath79/include/mach/ath79.h +++ b/arch/mips/mach-ath79/include/mach/ath79.h @@ -1,3 +1,4 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * Atheros AR71XX/AR724X/AR913X common definitions * @@ -5,10 +6,6 @@ * Copyright (C) 2008 Imre Kaloz * * Parts of this file are based on Atheros' 2.6.15 BSP - * - * This program is free software; you can redistribute it and/or modify it - * under the terms of the GNU General Public License version 2 as published - * by the Free Software Foundation. */ #ifndef __ASM_MACH_ATH79_H diff --git a/arch/mips/mach-ath79/include/mach/debug_ll.h b/arch/mips/mach-ath79/include/mach/debug_ll.h index 73d064a..67691f1 100644 --- a/arch/mips/mach-ath79/include/mach/debug_ll.h +++ b/arch/mips/mach-ath79/include/mach/debug_ll.h @@ -1,27 +1,23 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * Copyright (C) 2013 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #ifndef __MACH_ATH79_DEBUG_LL__ #define __MACH_ATH79_DEBUG_LL__ -#if defined(CONFIG_SOC_QCA_AR9331) +#ifdef CONFIG_DEBUG_LL + +#ifdef CONFIG_DEBUG_AR9331_UART #include -#elif defined(CONFIG_SOC_QCA_AR9344) +#elif defined CONFIG_DEBUG_AR9344_UART #include +#else +#error "unknown ath79 debug uart soc type" #endif +#else +#define debug_ll_ath79_init +#endif /* CONFIG_DEBUG_LL */ + #endif /* __MACH_AR9344_DEBUG_LL_H__ */ diff --git a/arch/mips/mach-ath79/include/mach/debug_ll_ar9331.h b/arch/mips/mach-ath79/include/mach/debug_ll_ar9331.h index 04bd3ea..cd32e2d 100644 --- a/arch/mips/mach-ath79/include/mach/debug_ll_ar9331.h +++ b/arch/mips/mach-ath79/include/mach/debug_ll_ar9331.h @@ -1,18 +1,6 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * based on linux.git/drivers/tty/serial/ar933x_uart.c - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #ifndef __AR933X_DEBUG_LL__ @@ -81,7 +69,7 @@ #define AR933X_UART_CLOCK_REG 0x08 #define CLOCK_REG ((KSEG1 | AR933X_UART_BASE) | AR933X_UART_CLOCK_REG) -.macro debug_ll_ar9331_init +.macro debug_ll_ath79_init #ifdef CONFIG_DEBUG_LL pbl_reg_writel ((AR933X_UART_CS_IF_MODE_DCE << AR933X_UART_CS_IF_MODE_S) \ diff --git a/arch/mips/mach-ath79/include/mach/debug_ll_ar9344.h b/arch/mips/mach-ath79/include/mach/debug_ll_ar9344.h index d156ce9..96ac08b 100644 --- a/arch/mips/mach-ath79/include/mach/debug_ll_ar9344.h +++ b/arch/mips/mach-ath79/include/mach/debug_ll_ar9344.h @@ -1,26 +1,16 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * Copyright (C) 2017 Oleksij Rempel * Copyright (C) 2012, 2013 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #ifndef __AR9344_DEBUG_LL__ #define __AR9344_DEBUG_LL__ +#include #include #include +#include #define DEBUG_LL_UART_ADDR KSEG1ADDR(AR934X_UART0_BASE) #define DEBUG_LL_UART_SHIFT AR934X_UART0_SHIFT @@ -66,7 +56,7 @@ * Macros for use in assembly language code */ -.macro debug_ll_ar9344_init +.macro debug_ll_ath79_init #ifdef CONFIG_DEBUG_LL /* find out the ref clock */ diff --git a/arch/mips/mach-ath79/include/mach/pbl_macros.h b/arch/mips/mach-ath79/include/mach/pbl_macros.h index 906d73e..70736b3 100644 --- a/arch/mips/mach-ath79/include/mach/pbl_macros.h +++ b/arch/mips/mach-ath79/include/mach/pbl_macros.h @@ -393,13 +393,10 @@ dcache_enable pbl_ar9331_uart_enable - debug_ll_ar9331_init - mips_nmon + debug_ll_ath79_init pbl_ar9331_mdio_gpio_enable - copy_to_link_location pbl_start - .set pop .endm diff --git a/arch/mips/mach-ath79/reset.c b/arch/mips/mach-ath79/reset.c index 0665788..b756c85 100644 --- a/arch/mips/mach-ath79/reset.c +++ b/arch/mips/mach-ath79/reset.c @@ -1,18 +1,6 @@ +// SPDX-License-Identifier: GPL-2.0-only /* * Copyright (C) 2013 Du Huanpeng - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #include diff --git a/arch/mips/mach-bcm47xx/include/mach/debug_ll.h b/arch/mips/mach-bcm47xx/include/mach/debug_ll.h index 3e74e0f..df5b13f 100644 --- a/arch/mips/mach-bcm47xx/include/mach/debug_ll.h +++ b/arch/mips/mach-bcm47xx/include/mach/debug_ll.h @@ -1,18 +1,6 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * Copyright (C) 2011 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ /** @file @@ -21,7 +9,6 @@ #ifndef __INCLUDE_ARCH_DEBUG_LL_H__ #define __INCLUDE_ARCH_DEBUG_LL_H__ -#include #include #define DEBUG_LL_UART_SHIFT 0 diff --git a/arch/mips/mach-bcm47xx/include/mach/hardware.h b/arch/mips/mach-bcm47xx/include/mach/hardware.h index 462302d..071229f 100644 --- a/arch/mips/mach-bcm47xx/include/mach/hardware.h +++ b/arch/mips/mach-bcm47xx/include/mach/hardware.h @@ -1,18 +1,6 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * Copyright (C) 2011 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #ifndef __INCLUDE_ARCH_HARDWARE_H__ diff --git a/arch/mips/mach-bcm47xx/reset.c b/arch/mips/mach-bcm47xx/reset.c index 6287adb..33dfb7b 100644 --- a/arch/mips/mach-bcm47xx/reset.c +++ b/arch/mips/mach-bcm47xx/reset.c @@ -1,18 +1,6 @@ +// SPDX-License-Identifier: GPL-2.0-only /* * Copyright (C) 2011 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #include diff --git a/arch/mips/mach-loongson/include/mach/debug_ll.h b/arch/mips/mach-loongson/include/mach/debug_ll.h index b999f5e..c2d6edb 100644 --- a/arch/mips/mach-loongson/include/mach/debug_ll.h +++ b/arch/mips/mach-loongson/include/mach/debug_ll.h @@ -1,18 +1,6 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * Copyright (C) 2014 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #ifndef __MACH_LOONGSON_DEBUG_LL__ @@ -21,7 +9,6 @@ /** @file * This File contains declaration for early output support */ -#include #include #endif /* __MACH_LOONGSON_DEBUG_LL__ */ diff --git a/arch/mips/mach-loongson/include/mach/loongson1.h b/arch/mips/mach-loongson/include/mach/loongson1.h index 12fdbc0..75e1a55 100644 --- a/arch/mips/mach-loongson/include/mach/loongson1.h +++ b/arch/mips/mach-loongson/include/mach/loongson1.h @@ -1,12 +1,8 @@ +/* SPDX-License-Identifier: GPL-2.0-or-later */ /* * Copyright (c) 2011 Zhang, Keguang * * Register mappings for Loongson 1 - * - * This program is free software; you can redistribute it and/or modify it - * under the terms of the GNU General Public License as published by the - * Free Software Foundation; either version 2 of the License, or (at your - * option) any later version. */ #ifndef __ASM_MACH_LOONGSON1_LOONGSON1_H diff --git a/arch/mips/mach-loongson/loongson1_reset.c b/arch/mips/mach-loongson/loongson1_reset.c index 7a8f1d6..3763a10 100644 --- a/arch/mips/mach-loongson/loongson1_reset.c +++ b/arch/mips/mach-loongson/loongson1_reset.c @@ -1,15 +1,6 @@ +// SPDX-License-Identifier: GPL-2.0-only /* * Copyright (C) 2014 Antony Pavlov - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #include diff --git a/arch/mips/mach-malta/Kconfig b/arch/mips/mach-malta/Kconfig index 1bf2b0e..ceea937 100644 --- a/arch/mips/mach-malta/Kconfig +++ b/arch/mips/mach-malta/Kconfig @@ -4,8 +4,11 @@ hex default 0xa0800000 -choice - prompt "Board type" +config MIPS_MALTA_MULTI_BOARDS + bool "Allow multiple boards to be selected" + select HAVE_PBL_MULTI_IMAGE + +if MIPS_MALTA_MULTI_BOARDS config BOARD_QEMU_MALTA bool "qemu malta" @@ -13,6 +16,6 @@ select HAVE_IMAGE_COMPRESSION select HAS_NMON -endchoice +endif # MIPS_MALTA_MULTI_BOARDS endif diff --git a/arch/mips/mach-malta/include/mach/debug_ll.h b/arch/mips/mach-malta/include/mach/debug_ll.h index c9e89bc..63d1538 100644 --- a/arch/mips/mach-malta/include/mach/debug_ll.h +++ b/arch/mips/mach-malta/include/mach/debug_ll.h @@ -1,18 +1,6 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * Copyright (C) 2011 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ /** @file @@ -21,7 +9,15 @@ #ifndef __MACH_MALTA_DEBUG_LL_H__ #define __MACH_MALTA_DEBUG_LL_H__ -#include +#include + +#define DEBUG_LL_UART_ADDR MALTA_PIIX4_UART0 +#define DEBUG_LL_UART_SHIFT 0 + +#define DEBUG_LL_UART_CLK 1843200 +#define DEBUG_LL_UART_BPS CONFIG_BAUDRATE +#define DEBUG_LL_UART_DIVISOR (DEBUG_LL_UART_CLK / DEBUG_LL_UART_BPS) + #include #endif /* __MACH_MALTA_DEBUG_LL_H__ */ diff --git a/arch/mips/mach-malta/include/mach/hardware.h b/arch/mips/mach-malta/include/mach/hardware.h index ba28cb8..1176b08 100644 --- a/arch/mips/mach-malta/include/mach/hardware.h +++ b/arch/mips/mach-malta/include/mach/hardware.h @@ -1,18 +1,6 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * Copyright (C) 2011 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #ifndef __INCLUDE_ARCH_HARDWARE_H__ diff --git a/arch/mips/mach-malta/reset.c b/arch/mips/mach-malta/reset.c index ff29cd5..df7be0a 100644 --- a/arch/mips/mach-malta/reset.c +++ b/arch/mips/mach-malta/reset.c @@ -1,18 +1,6 @@ +// SPDX-License-Identifier: GPL-2.0-only /* * Copyright (C) 2011 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ /** diff --git a/arch/mips/mach-xburst/Kconfig b/arch/mips/mach-xburst/Kconfig index ee79ff6..b379021 100644 --- a/arch/mips/mach-xburst/Kconfig +++ b/arch/mips/mach-xburst/Kconfig @@ -16,9 +16,6 @@ select WATCHDOG select WATCHDOG_JZ4740 -choice - prompt "Board type" - config BOARD_RZX50 bool "Ritmix RZX-50" select CPU_JZ4755 @@ -28,9 +25,18 @@ select CPU_JZ4780 select HAS_DM9000 +if DEBUG_LL +choice + prompt "DEBUG_LL driver" + +config DEBUG_JZ4750D_UART + bool "JZ4750D Debug UART" + +config DEBUG_JZ4780_UART + bool "JZ4780 Debug UART" + endchoice -if DEBUG_LL choice prompt "DEBUG_LL port" diff --git a/arch/mips/mach-xburst/csrc-jz4750.c b/arch/mips/mach-xburst/csrc-jz4750.c index 75e0654..302709e 100644 --- a/arch/mips/mach-xburst/csrc-jz4750.c +++ b/arch/mips/mach-xburst/csrc-jz4750.c @@ -1,18 +1,6 @@ +// SPDX-License-Identifier: GPL-2.0-only /* * Copyright (C) 2012 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ /** diff --git a/arch/mips/mach-xburst/include/mach/debug_ll.h b/arch/mips/mach-xburst/include/mach/debug_ll.h index 6c3c980..ea12ea5 100644 --- a/arch/mips/mach-xburst/include/mach/debug_ll.h +++ b/arch/mips/mach-xburst/include/mach/debug_ll.h @@ -1,18 +1,6 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * Copyright (C) 2012 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #ifndef __MACH_XBURST_DEBUG_LL__ @@ -21,7 +9,19 @@ /** @file * This File contains declaration for early output support */ -#include + +#ifdef CONFIG_DEBUG_LL + +#ifdef CONFIG_DEBUG_JZ4750D_UART +#include +#elif defined CONFIG_DEBUG_JZ4780_UART +#include +#else +#error "unknown xburst debug uart soc type" +#endif + +#endif /* CONFIG_DEBUG_LL */ + #include #endif /* __MACH_XBURST_DEBUG_LL__ */ diff --git a/arch/mips/mach-xburst/include/mach/debug_ll_jz4750d.h b/arch/mips/mach-xburst/include/mach/debug_ll_jz4750d.h index 6d18366..8633ad2 100644 --- a/arch/mips/mach-xburst/include/mach/debug_ll_jz4750d.h +++ b/arch/mips/mach-xburst/include/mach/debug_ll_jz4750d.h @@ -1,18 +1,6 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * Copyright (C) 2013 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #ifndef __INCLUDE_DEBUG_LL_JZ4750D_H__ diff --git a/arch/mips/mach-xburst/include/mach/debug_ll_jz4780.h b/arch/mips/mach-xburst/include/mach/debug_ll_jz4780.h index 0bdc58c..20e94d6 100644 --- a/arch/mips/mach-xburst/include/mach/debug_ll_jz4780.h +++ b/arch/mips/mach-xburst/include/mach/debug_ll_jz4780.h @@ -1,18 +1,6 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * Copyright (C) 2014 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #ifndef __INCLUDE_DEBUG_LL_JZ4780_H__ diff --git a/arch/mips/mach-xburst/include/mach/jz4750d_regs.h b/arch/mips/mach-xburst/include/mach/jz4750d_regs.h index 396c823..5e02488 100644 --- a/arch/mips/mach-xburst/include/mach/jz4750d_regs.h +++ b/arch/mips/mach-xburst/include/mach/jz4750d_regs.h @@ -1,13 +1,10 @@ +/* SPDX-License-Identifier: GPL-2.0-only */ /* * based on linux/include/asm-mips/mach-jz4750d/regs.h * * JZ4750D register definition. * * Copyright (C) 2008 Ingenic Semiconductor Inc. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 as - * published by the Free Software Foundation. */ #ifndef __JZ4750D_REGS_H__ diff --git a/arch/mips/mach-xburst/include/mach/jz4780.h b/arch/mips/mach-xburst/include/mach/jz4780.h index 4503a93..8f58700 100644 --- a/arch/mips/mach-xburst/include/mach/jz4780.h +++ b/arch/mips/mach-xburst/include/mach/jz4780.h @@ -1,19 +1,9 @@ +/* SPDX-License-Identifier: GPL-2.0-or-later */ /* * JZ4780 SoC definitions * * Copyright (c) 2013 Imagination Technologies * Author: Paul Burton - * - * This program is free software; you can redistribute it and/or - * modify it under the terms of the GNU General Public License as - * published by the Free Software Foundation; either version 2 of - * the License, or (at your option) any later version. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #ifndef __MIPS_ASM_MACH_JZ4780_JZ4780_H__ diff --git a/arch/mips/mach-xburst/reset-jz4750.c b/arch/mips/mach-xburst/reset-jz4750.c index 1fdcc7b..a1d5976 100644 --- a/arch/mips/mach-xburst/reset-jz4750.c +++ b/arch/mips/mach-xburst/reset-jz4750.c @@ -1,18 +1,6 @@ +// SPDX-License-Identifier: GPL-2.0-only /* * Copyright (C) 2012 Antony Pavlov - * - * This file is part of barebox. - * See file CREDITS for list of people who contributed to this project. - * - * This program is free software; you can redistribute it and/or modify - * it under the terms of the GNU General Public License version 2 - * as published by the Free Software Foundation. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ /** diff --git a/arch/mips/pbl/zbarebox.lds.S b/arch/mips/pbl/zbarebox.lds.S index a883fdb..04b5b86 100644 --- a/arch/mips/pbl/zbarebox.lds.S +++ b/arch/mips/pbl/zbarebox.lds.S @@ -1,19 +1,6 @@ +/* SPDX-License-Identifier: GPL-2.0-or-later */ /* * (C) Copyright 2012 Sascha Hauer , Pengutronix - * - * See file CREDITS for list of people who contributed to this - * project. - * - * This program is free software; you can redistribute it and/or - * modify it under the terms of the GNU General Public License as - * published by the Free Software Foundation; either version 2 of - * the License, or (at your option) any later version. - * - * This program is distributed in the hope that it will be useful, - * but WITHOUT ANY WARRANTY; without even the implied warranty of - * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the - * GNU General Public License for more details. - * */ #include diff --git a/images/Makefile b/images/Makefile index 14d1612..98ca471 100644 --- a/images/Makefile +++ b/images/Makefile @@ -54,7 +54,7 @@ $(call if_changed_dep,cpp_lds_S) quiet_cmd_elf__ ?= LD $@ - cmd_elf__ ?= $(LD) $(LDFLAGS_barebox) --gc-sections -pie \ + cmd_elf__ ?= $(LD) $(LDFLAGS_barebox) --gc-sections \ -e $(2) -Map $@.map $(LDFLAGS_$(@F)) -o $@ \ -T $(pbl-lds) \ --start-group $(barebox-pbl-common) $(obj)/piggy.o --end-group @@ -95,8 +95,13 @@ include $(srctree)/images/Makefile.am33xx include $(srctree)/images/Makefile.am35xx +include $(srctree)/images/Makefile.ar231x +include $(srctree)/images/Makefile.ath79 include $(srctree)/images/Makefile.bcm283x +include $(srctree)/images/Makefile.bcm47xx include $(srctree)/images/Makefile.imx +include $(srctree)/images/Makefile.loongson +include $(srctree)/images/Makefile.malta include $(srctree)/images/Makefile.mvebu include $(srctree)/images/Makefile.mxs include $(srctree)/images/Makefile.omap3 @@ -104,6 +109,7 @@ include $(srctree)/images/Makefile.socfpga include $(srctree)/images/Makefile.tegra include $(srctree)/images/Makefile.vexpress +include $(srctree)/images/Makefile.xburst include $(srctree)/images/Makefile.at91 targets += $(image-y) pbl.lds barebox.x barebox.z piggy.o diff --git a/images/Makefile.ar231x b/images/Makefile.ar231x new file mode 100644 index 0000000..31b9704 --- /dev/null +++ b/images/Makefile.ar231x @@ -0,0 +1,3 @@ +pblb-$(CONFIG_BOARD_NETGEAR_WG102) += start_netgear_wg102 +FILE_barebox-netgear-wg102.img = start_netgear_wg102.pblb +image-$(CONFIG_BOARD_NETGEAR_WG102) += barebox-netgear-wg102.img diff --git a/images/Makefile.ath79 b/images/Makefile.ath79 new file mode 100644 index 0000000..c7e97f0 --- /dev/null +++ b/images/Makefile.ath79 @@ -0,0 +1,20 @@ +pblb-$(CONFIG_BOARD_8DEVICES_LIMA) += start_8devices_lima +FILE_barebox-8devices-lima.img = start_8devices_lima.pblb +image-$(CONFIG_BOARD_8DEVICES_LIMA) += barebox-8devices-lima.img + +pblb-$(CONFIG_BLACK_SWIFT) += start_black_swift +FILE_barebox-black-swift.img = start_black_swift.pblb +image-$(CONFIG_BOARD_BLACK_SWIFT) += barebox-black-swift.img + +pblb-$(CONFIG_BOARD_DPTECHNICS_DPT_MODULE) += start_dptechnics_dpt_module +FILE_barebox-dptechnics-dpt-module.img = start_dptechnics_dpt_module.pblb +image-$(CONFIG_BOARD_DPTECHNICS_DPT_MODULE) += barebox-dptechnics-dpt-module.img + +pblb-$(CONFIG_BOARD_TPLINK_MR3020) += start_tplink_mr3020 +FILE_barebox-tplink-mr3020.img = start_tplink_mr3020.pblb +image-$(CONFIG_BOARD_TPLINK_MR3020) += barebox-tplink-mr3020.img + +pblb-$(CONFIG_BOARD_TPLINK_WDR4300) += start_tplink_wdr4300 +FILE_barebox-tplink-wdr4300.img = start_tplink_wdr4300.pblb +image-$(CONFIG_BOARD_TPLINK_WDR4300) += barebox-tplink-wdr4300.img + diff --git a/images/Makefile.bcm47xx b/images/Makefile.bcm47xx new file mode 100644 index 0000000..839243e --- /dev/null +++ b/images/Makefile.bcm47xx @@ -0,0 +1,3 @@ +pblb-$(CONFIG_BOARD_DLINK_DIR320) += start_dlink_dir_320 +FILE_barebox-dlink-dir-320.img = start_dlink_dir_320.pblb +image-$(CONFIG_BOARD_DLINK_DIR320) += barebox-dlink-dir-320.img diff --git a/images/Makefile.loongson b/images/Makefile.loongson new file mode 100644 index 0000000..f5918b5 --- /dev/null +++ b/images/Makefile.loongson @@ -0,0 +1,3 @@ +pblb-$(CONFIG_BOARD_LOONGSON_TECH_LS1B) += start_loongson_ls1b +FILE_barebox-loongson-ls1b.img = start_loongson_ls1b.pblb +image-$(CONFIG_BOARD_LOONGSON_TECH_LS1B) += barebox-loongson-ls1b.img diff --git a/images/Makefile.malta b/images/Makefile.malta new file mode 100644 index 0000000..5739ec4 --- /dev/null +++ b/images/Makefile.malta @@ -0,0 +1,3 @@ +pblb-$(CONFIG_BOARD_QEMU_MALTA) += start_qemu_malta +FILE_barebox-qemu-malta.img = start_qemu_malta.pblb +image-$(CONFIG_BOARD_QEMU_MALTA) += barebox-qemu-malta.img diff --git a/images/Makefile.xburst b/images/Makefile.xburst new file mode 100644 index 0000000..6c63a56 --- /dev/null +++ b/images/Makefile.xburst @@ -0,0 +1,7 @@ +pblb-$(CONFIG_BOARD_CI20) += start_img_ci20 +FILE_barebox-img-ci20.img = start_img_ci20.pblb +image-$(CONFIG_BOARD_CI20) += barebox-img-ci20.img + +pblb-$(CONFIG_BOARD_RZX50) += start_ritmix_rzx50 +FILE_barebox-ritmix-rzx50.img = start_ritmix_rzx50.pblb +image-$(CONFIG_BOARD_RZX50) += barebox-ritmix-rzx50.img diff --git a/images/piggy.S b/images/piggy.S index 84396ae..c2274eb 100644 --- a/images/piggy.S +++ b/images/piggy.S @@ -1,4 +1,4 @@ - .section .piggydata,#alloc + .section .piggydata,"a" .globl input_data input_data: .incbin "images/barebox.z" diff --git a/pbl/Kconfig b/pbl/Kconfig index 117b79d..f2250dd 100644 --- a/pbl/Kconfig +++ b/pbl/Kconfig @@ -35,7 +35,7 @@ if PBL_IMAGE config PBL_RELOCATABLE - depends on ARM + depends on ARM || MIPS bool "relocatable pbl image" help Generate a pbl binary which can relocate itself during startup to run