Miscellaneous doc fixes for v1.1
Change-Id: Iaf9d6305edc478d39cf1b37c8a70ccdf723e8ef9
1 parent 6a4932b commit 121f2ae7ce54560ed3af4ee6f5abd328ce2088a3
@Sandrine Bailleux Sandrine Bailleux authored on 28 Jan 2015
Showing 4 changed files
View
docs/cpu-specific-build-macros.md
View
docs/firmware-design.md
View
docs/porting-guide.md
View
docs/user-guide.md